OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [par/] [xilinx/] [ha1588.ucf] - Blame information for rev 68

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 68 ash_riple
 
2
NET "clk" TNM_NET = "TS_CPU_GRP";
3
TIMESPEC "TS_CPU" = PERIOD "TS_CPU_GRP" 10 ns HIGH 50%;
4
 
5
NET "rtc_clk" TNM_NET = "TS_RTC_GRP";
6
TIMESPEC "TS_RTC" = PERIOD "TS_RTC_GRP" 8 ns HIGH 50%;
7
 
8
NET "rx_gmii_clk" TNM_NET = "TS_RX_GRP";
9
TIMESPEC "TS_RX" = PERIOD "TS_RX_GRP" 8 ns HIGH 50%;
10
 
11
NET "tx_gmii_clk" TNM_NET = "TS_TX_GRP";
12
TIMESPEC "TS_TX" = PERIOD "TS_TX_GRP" 8 ns HIGH 50%;
13
 
14
TIMESPEC TS_FALSE_PATH0 = FROM TS_CPU_GRP TO TS_RTC_GRP 10 ns DATAPATHONLY;
15
TIMESPEC TS_FALSE_PATH1 = FROM TS_RTC_GRP TO TS_CPU_GRP 8 ns DATAPATHONLY;
16
 
17
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.