OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [rtl/] [top/] [ha1588.v] - Blame information for rev 48

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 edn_walter
/*
2 38 edn_walter
 * ha1588.v
3 34 edn_walter
 *
4 37 edn_walter
 * Copyright (c) 2012, BABY&HW. All rights reserved.
5 34 edn_walter
 *
6
 * This library is free software; you can redistribute it and/or
7
 * modify it under the terms of the GNU Lesser General Public
8
 * License as published by the Free Software Foundation; either
9
 * version 2.1 of the License, or (at your option) any later version.
10
 *
11
 * This library is distributed in the hope that it will be useful,
12
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
 * Lesser General Public License for more details.
15
 *
16
 * You should have received a copy of the GNU Lesser General Public
17
 * License along with this library; if not, write to the Free Software
18
 * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston,
19
 * MA 02110-1301  USA
20
 */
21
 
22 18 edn_walter
`timescale 1ns/1ns
23
 
24 39 edn_walter
// TODO: add define to generate rtc only or tsu only.
25
 
26 18 edn_walter
module ha1588 (
27
  input         rst,clk,
28
  input         wr_in,rd_in,
29 21 edn_walter
  input  [ 7:0] addr_in,
30 18 edn_walter
  input  [31:0] data_in,
31
  output [31:0] data_out,
32
 
33 32 edn_walter
  input         rtc_clk,
34
  output [31:0] rtc_time_ptp_ns,
35
  output [47:0] rtc_time_ptp_sec,
36 18 edn_walter
 
37
  input       rx_gmii_clk,
38
  input       rx_gmii_ctrl,
39
  input [7:0] rx_gmii_data,
40 39 edn_walter
 
41 18 edn_walter
  input       tx_gmii_clk,
42
  input       tx_gmii_ctrl,
43
  input [7:0] tx_gmii_data
44
);
45
 
46 48 edn_walter
parameter addr_is_in_word = 0;
47
wire [ 5: 0] word_addr_in;
48
wire [ 7: 0] byte_addr_in;
49
generate
50
  if (addr_is_in_word)
51
    assign word_addr_in = addr_in[ 5: 0];
52
  else
53
    assign word_addr_in = addr_in[ 7: 2];
54
endgenerate
55
assign byte_addr_in = {word_addr_in, 2'b00};
56
 
57 18 edn_walter
wire rtc_rst;
58 41 edn_walter
wire rtc_time_ld, rtc_period_ld, rtc_adj_ld, adj_ld_done;
59 18 edn_walter
wire [37:0] rtc_time_reg_ns;
60
wire [47:0] rtc_time_reg_sec;
61
wire [39:0] rtc_period;
62
wire [31:0] rtc_adj_ld_data;
63
wire [39:0] rtc_period_adj;
64
wire [37:0] rtc_time_reg_ns_val;
65
wire [47:0] rtc_time_reg_sec_val;
66 32 edn_walter
wire [79:0] rtc_time_ptp_val = {rtc_time_ptp_sec[47:0], rtc_time_ptp_ns[31:0]};
67 18 edn_walter
 
68
wire rx_q_rst, rx_q_clk;
69
wire rx_q_rd_en;
70 43 edn_walter
wire [  7:0] rx_q_ptp_msgid_mask;
71 37 edn_walter
wire [  7:0] rx_q_stat;
72
wire [127:0] rx_q_data;
73 18 edn_walter
wire tx_q_rst, tx_q_clk;
74
wire tx_q_rd_en;
75 43 edn_walter
wire [  7:0] tx_q_ptp_msgid_mask;
76 37 edn_walter
wire [  7:0] tx_q_stat;
77
wire [127:0] tx_q_data;
78 18 edn_walter
 
79
rgs u_rgs
80
(
81
  .rst(rst),
82
  .clk(clk),
83
  .wr_in(wr_in),
84
  .rd_in(rd_in),
85 48 edn_walter
  .addr_in(byte_addr_in),
86 18 edn_walter
  .data_in(data_in),
87
  .data_out(data_out),
88
  .rtc_clk_in(rtc_clk),
89
  .rtc_rst_out(rtc_rst),
90
  .time_ld_out(rtc_time_ld),
91
  .time_reg_ns_out(rtc_time_reg_ns),
92
  .time_reg_sec_out(rtc_time_reg_sec),
93
  .period_ld_out(rtc_period_ld),
94
  .period_out(rtc_period),
95
  .adj_ld_out(rtc_adj_ld),
96
  .adj_ld_data_out(rtc_adj_ld_data),
97
  .period_adj_out(rtc_period_adj),
98 38 edn_walter
  .adj_ld_done_in(adj_ld_done),
99 18 edn_walter
  .time_reg_ns_in(rtc_time_reg_ns_val),
100
  .time_reg_sec_in(rtc_time_reg_sec_val),
101
  .rx_q_rst_out(rx_q_rst),
102
  .rx_q_rd_clk_out(rx_q_clk),
103
  .rx_q_rd_en_out(rx_q_rd_en),
104 43 edn_walter
  .rx_q_ptp_msgid_mask_out(rx_q_ptp_msgid_mask),
105 18 edn_walter
  .rx_q_stat_in(rx_q_stat),
106
  .rx_q_data_in(rx_q_data),
107
  .tx_q_rst_out(tx_q_rst),
108
  .tx_q_rd_clk_out(tx_q_clk),
109
  .tx_q_rd_en_out(tx_q_rd_en),
110 43 edn_walter
  .tx_q_ptp_msgid_mask_out(tx_q_ptp_msgid_mask),
111 18 edn_walter
  .tx_q_stat_in(tx_q_stat),
112
  .tx_q_data_in(tx_q_data)
113
);
114
 
115
rtc u_rtc
116
(
117
  .rst(rtc_rst),
118
  .clk(rtc_clk),
119
  .time_ld(rtc_time_ld),
120
  .time_reg_ns_in(rtc_time_reg_ns),
121
  .time_reg_sec_in(rtc_time_reg_sec),
122
  .period_ld(rtc_period_ld),
123
  .period_in(rtc_period),
124
  .adj_ld(rtc_adj_ld),
125
  .adj_ld_data(rtc_adj_ld_data),
126 38 edn_walter
  .adj_ld_done(adj_ld_done),
127 18 edn_walter
  .period_adj(rtc_period_adj),
128
  .time_reg_ns(rtc_time_reg_ns_val),
129 32 edn_walter
  .time_reg_sec(rtc_time_reg_sec_val),
130
  .time_ptp_ns(rtc_time_ptp_ns),
131
  .time_ptp_sec(rtc_time_ptp_sec)
132 18 edn_walter
);
133
 
134
tsu u_rx_tsu
135
(
136
  .rst(rst),
137
  .gmii_clk(rx_gmii_clk),
138
  .gmii_ctrl(rx_gmii_ctrl),
139
  .gmii_data(rx_gmii_data),
140 43 edn_walter
  .ptp_msgid_mask(rx_q_ptp_msgid_mask),
141 18 edn_walter
  .rtc_timer_clk(rtc_clk),
142 32 edn_walter
  .rtc_timer_in(rtc_time_ptp_val),
143 18 edn_walter
  .q_rst(rx_q_rst),
144
  .q_rd_clk(rx_q_clk),
145
  .q_rd_en(rx_q_rd_en),
146
  .q_rd_stat(rx_q_stat),
147
  .q_rd_data(rx_q_data)
148
);
149
 
150
tsu u_tx_tsu
151
(
152
  .rst(rst),
153
  .gmii_clk(tx_gmii_clk),
154
  .gmii_ctrl(tx_gmii_ctrl),
155
  .gmii_data(tx_gmii_data),
156 43 edn_walter
  .ptp_msgid_mask(tx_q_ptp_msgid_mask),
157 18 edn_walter
  .rtc_timer_clk(rtc_clk),
158 32 edn_walter
  .rtc_timer_in(rtc_time_ptp_val),
159 18 edn_walter
  .q_rst(tx_q_rst),
160
  .q_rd_clk(tx_q_clk),
161
  .q_rd_en(tx_q_rd_en),
162
  .q_rd_stat(tx_q_stat),
163
  .q_rd_data(tx_q_data)
164
);
165
 
166
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.