OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [rtl/] [top/] [ha1588.v] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 edn_walter
/*
2 38 edn_walter
 * ha1588.v
3 34 edn_walter
 *
4 37 edn_walter
 * Copyright (c) 2012, BABY&HW. All rights reserved.
5 34 edn_walter
 *
6
 * This library is free software; you can redistribute it and/or
7
 * modify it under the terms of the GNU Lesser General Public
8
 * License as published by the Free Software Foundation; either
9
 * version 2.1 of the License, or (at your option) any later version.
10
 *
11
 * This library is distributed in the hope that it will be useful,
12
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
 * Lesser General Public License for more details.
15
 *
16
 * You should have received a copy of the GNU Lesser General Public
17
 * License along with this library; if not, write to the Free Software
18
 * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston,
19
 * MA 02110-1301  USA
20
 */
21
 
22 18 edn_walter
`timescale 1ns/1ns
23
 
24 39 edn_walter
// TODO: add define to generate rtc only or tsu only.
25
 
26 18 edn_walter
module ha1588 (
27
  input         rst,clk,
28
  input         wr_in,rd_in,
29 21 edn_walter
  input  [ 7:0] addr_in,
30 18 edn_walter
  input  [31:0] data_in,
31
  output [31:0] data_out,
32
 
33 32 edn_walter
  input         rtc_clk,
34
  output [31:0] rtc_time_ptp_ns,
35
  output [47:0] rtc_time_ptp_sec,
36 18 edn_walter
 
37
  input       rx_gmii_clk,
38
  input       rx_gmii_ctrl,
39
  input [7:0] rx_gmii_data,
40 54 edn_walter
  input       rx_giga_mode,
41 39 edn_walter
 
42 18 edn_walter
  input       tx_gmii_clk,
43
  input       tx_gmii_ctrl,
44 54 edn_walter
  input [7:0] tx_gmii_data,
45
  input       tx_giga_mode
46 18 edn_walter
);
47
 
48 48 edn_walter
parameter addr_is_in_word = 0;
49
wire [ 5: 0] word_addr_in;
50
wire [ 7: 0] byte_addr_in;
51
generate
52
  if (addr_is_in_word)
53
    assign word_addr_in = addr_in[ 5: 0];
54
  else
55
    assign word_addr_in = addr_in[ 7: 2];
56
endgenerate
57
assign byte_addr_in = {word_addr_in, 2'b00};
58
 
59 18 edn_walter
wire rtc_rst;
60 41 edn_walter
wire rtc_time_ld, rtc_period_ld, rtc_adj_ld, adj_ld_done;
61 18 edn_walter
wire [37:0] rtc_time_reg_ns;
62
wire [47:0] rtc_time_reg_sec;
63
wire [39:0] rtc_period;
64
wire [31:0] rtc_adj_ld_data;
65
wire [39:0] rtc_period_adj;
66
wire [37:0] rtc_time_reg_ns_val;
67
wire [47:0] rtc_time_reg_sec_val;
68 32 edn_walter
wire [79:0] rtc_time_ptp_val = {rtc_time_ptp_sec[47:0], rtc_time_ptp_ns[31:0]};
69 18 edn_walter
 
70
wire rx_q_rst, rx_q_clk;
71
wire rx_q_rd_en;
72 43 edn_walter
wire [  7:0] rx_q_ptp_msgid_mask;
73 37 edn_walter
wire [  7:0] rx_q_stat;
74
wire [127:0] rx_q_data;
75 18 edn_walter
wire tx_q_rst, tx_q_clk;
76
wire tx_q_rd_en;
77 43 edn_walter
wire [  7:0] tx_q_ptp_msgid_mask;
78 37 edn_walter
wire [  7:0] tx_q_stat;
79
wire [127:0] tx_q_data;
80 18 edn_walter
 
81
rgs u_rgs
82
(
83
  .rst(rst),
84
  .clk(clk),
85
  .wr_in(wr_in),
86
  .rd_in(rd_in),
87 48 edn_walter
  .addr_in(byte_addr_in),
88 18 edn_walter
  .data_in(data_in),
89
  .data_out(data_out),
90
  .rtc_clk_in(rtc_clk),
91
  .rtc_rst_out(rtc_rst),
92
  .time_ld_out(rtc_time_ld),
93
  .time_reg_ns_out(rtc_time_reg_ns),
94
  .time_reg_sec_out(rtc_time_reg_sec),
95
  .period_ld_out(rtc_period_ld),
96
  .period_out(rtc_period),
97
  .adj_ld_out(rtc_adj_ld),
98
  .adj_ld_data_out(rtc_adj_ld_data),
99
  .period_adj_out(rtc_period_adj),
100 38 edn_walter
  .adj_ld_done_in(adj_ld_done),
101 18 edn_walter
  .time_reg_ns_in(rtc_time_reg_ns_val),
102
  .time_reg_sec_in(rtc_time_reg_sec_val),
103
  .rx_q_rst_out(rx_q_rst),
104
  .rx_q_rd_clk_out(rx_q_clk),
105
  .rx_q_rd_en_out(rx_q_rd_en),
106 43 edn_walter
  .rx_q_ptp_msgid_mask_out(rx_q_ptp_msgid_mask),
107 18 edn_walter
  .rx_q_stat_in(rx_q_stat),
108
  .rx_q_data_in(rx_q_data),
109
  .tx_q_rst_out(tx_q_rst),
110
  .tx_q_rd_clk_out(tx_q_clk),
111
  .tx_q_rd_en_out(tx_q_rd_en),
112 43 edn_walter
  .tx_q_ptp_msgid_mask_out(tx_q_ptp_msgid_mask),
113 18 edn_walter
  .tx_q_stat_in(tx_q_stat),
114
  .tx_q_data_in(tx_q_data)
115
);
116
 
117
rtc u_rtc
118
(
119
  .rst(rtc_rst),
120
  .clk(rtc_clk),
121
  .time_ld(rtc_time_ld),
122
  .time_reg_ns_in(rtc_time_reg_ns),
123
  .time_reg_sec_in(rtc_time_reg_sec),
124
  .period_ld(rtc_period_ld),
125
  .period_in(rtc_period),
126
  .adj_ld(rtc_adj_ld),
127
  .adj_ld_data(rtc_adj_ld_data),
128 38 edn_walter
  .adj_ld_done(adj_ld_done),
129 18 edn_walter
  .period_adj(rtc_period_adj),
130
  .time_reg_ns(rtc_time_reg_ns_val),
131 32 edn_walter
  .time_reg_sec(rtc_time_reg_sec_val),
132
  .time_ptp_ns(rtc_time_ptp_ns),
133
  .time_ptp_sec(rtc_time_ptp_sec)
134 18 edn_walter
);
135
 
136
tsu u_rx_tsu
137
(
138
  .rst(rst),
139
  .gmii_clk(rx_gmii_clk),
140
  .gmii_ctrl(rx_gmii_ctrl),
141
  .gmii_data(rx_gmii_data),
142 54 edn_walter
  .giga_mode(rx_giga_mode),
143 43 edn_walter
  .ptp_msgid_mask(rx_q_ptp_msgid_mask),
144 18 edn_walter
  .rtc_timer_clk(rtc_clk),
145 32 edn_walter
  .rtc_timer_in(rtc_time_ptp_val),
146 18 edn_walter
  .q_rst(rx_q_rst),
147
  .q_rd_clk(rx_q_clk),
148
  .q_rd_en(rx_q_rd_en),
149
  .q_rd_stat(rx_q_stat),
150
  .q_rd_data(rx_q_data)
151
);
152
 
153
tsu u_tx_tsu
154
(
155
  .rst(rst),
156
  .gmii_clk(tx_gmii_clk),
157
  .gmii_ctrl(tx_gmii_ctrl),
158
  .gmii_data(tx_gmii_data),
159 54 edn_walter
  .giga_mode(tx_giga_mode),
160 43 edn_walter
  .ptp_msgid_mask(tx_q_ptp_msgid_mask),
161 18 edn_walter
  .rtc_timer_clk(rtc_clk),
162 32 edn_walter
  .rtc_timer_in(rtc_time_ptp_val),
163 18 edn_walter
  .q_rst(tx_q_rst),
164
  .q_rd_clk(tx_q_clk),
165
  .q_rd_en(tx_q_rd_en),
166
  .q_rd_stat(tx_q_stat),
167
  .q_rd_data(tx_q_data)
168
);
169
 
170
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.