OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [rtl/] [tsu/] [ptp_queue.v] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 edn_walter
// megafunction wizard: %FIFO%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: dcfifo 
5
 
6
// ============================================================
7
// File Name: ptp_queue.v
8
// Megafunction Name(s):
9
//                      dcfifo
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 10.1 Build 197 01/19/2011 SP 1 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2011 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module ptp_queue (
40
        aclr,
41
        data,
42
        rdclk,
43
        rdreq,
44
        wrclk,
45
        wrreq,
46
        q,
47
        rdusedw,
48
        wrusedw);
49
 
50
        input     aclr;
51
        input   [63:0]  data;
52
        input     rdclk;
53
        input     rdreq;
54
        input     wrclk;
55
        input     wrreq;
56
        output  [63:0]  q;
57
        output  [3:0]  rdusedw;
58
        output  [3:0]  wrusedw;
59
`ifndef ALTERA_RESERVED_QIS
60
// synopsys translate_off
61
`endif
62
        tri0      aclr;
63
`ifndef ALTERA_RESERVED_QIS
64
// synopsys translate_on
65
`endif
66
 
67
        wire [63:0] sub_wire0;
68
        wire [3:0] sub_wire1;
69
        wire [3:0] sub_wire2;
70
        wire [63:0] q = sub_wire0[63:0];
71
        wire [3:0] wrusedw = sub_wire1[3:0];
72
        wire [3:0] rdusedw = sub_wire2[3:0];
73
 
74
        dcfifo  dcfifo_component (
75
                                .rdclk (rdclk),
76
                                .wrclk (wrclk),
77
                                .wrreq (wrreq),
78
                                .aclr (aclr),
79
                                .data (data),
80
                                .rdreq (rdreq),
81
                                .q (sub_wire0),
82
                                .wrusedw (sub_wire1),
83
                                .rdusedw (sub_wire2),
84
                                .rdempty (),
85
                                .rdfull (),
86
                                .wrempty (),
87
                                .wrfull ());
88
        defparam
89
                dcfifo_component.intended_device_family = "Cyclone III",
90
                dcfifo_component.lpm_numwords = 16,
91
                dcfifo_component.lpm_showahead = "OFF",
92
                dcfifo_component.lpm_type = "dcfifo",
93
                dcfifo_component.lpm_width = 64,
94
                dcfifo_component.lpm_widthu = 4,
95
                dcfifo_component.overflow_checking = "ON",
96
                dcfifo_component.rdsync_delaypipe = 4,
97
                dcfifo_component.underflow_checking = "ON",
98
                dcfifo_component.use_eab = "ON",
99
                dcfifo_component.write_aclr_synch = "OFF",
100
                dcfifo_component.wrsync_delaypipe = 4;
101
 
102
 
103
endmodule
104
 
105
// ============================================================
106
// CNX file retrieval info
107
// ============================================================
108
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
109
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
110
// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
111
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
112
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
113
// Retrieval info: PRIVATE: Clock NUMERIC "4"
114
// Retrieval info: PRIVATE: Depth NUMERIC "16"
115
// Retrieval info: PRIVATE: Empty NUMERIC "1"
116
// Retrieval info: PRIVATE: Full NUMERIC "1"
117
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
118
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
119
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
120
// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
121
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
122
// Retrieval info: PRIVATE: Optimize NUMERIC "2"
123
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
124
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
125
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
126
// Retrieval info: PRIVATE: UsedW NUMERIC "1"
127
// Retrieval info: PRIVATE: Width NUMERIC "64"
128
// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
129
// Retrieval info: PRIVATE: diff_widths NUMERIC "0"
130
// Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
131
// Retrieval info: PRIVATE: output_width NUMERIC "64"
132
// Retrieval info: PRIVATE: rsEmpty NUMERIC "0"
133
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
134
// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"
135
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
136
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
137
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
138
// Retrieval info: PRIVATE: wsFull NUMERIC "0"
139
// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
140
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
141
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
142
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "16"
143
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
144
// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
145
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "64"
146
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "4"
147
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
148
// Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "4"
149
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
150
// Retrieval info: CONSTANT: USE_EAB STRING "ON"
151
// Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF"
152
// Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "4"
153
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr"
154
// Retrieval info: USED_PORT: data 0 0 64 0 INPUT NODEFVAL "data[63..0]"
155
// Retrieval info: USED_PORT: q 0 0 64 0 OUTPUT NODEFVAL "q[63..0]"
156
// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL "rdclk"
157
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq"
158
// Retrieval info: USED_PORT: rdusedw 0 0 4 0 OUTPUT NODEFVAL "rdusedw[3..0]"
159
// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL "wrclk"
160
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq"
161
// Retrieval info: USED_PORT: wrusedw 0 0 4 0 OUTPUT NODEFVAL "wrusedw[3..0]"
162
// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
163
// Retrieval info: CONNECT: @data 0 0 64 0 data 0 0 64 0
164
// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
165
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
166
// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
167
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
168
// Retrieval info: CONNECT: q 0 0 64 0 @q 0 0 64 0
169
// Retrieval info: CONNECT: rdusedw 0 0 4 0 @rdusedw 0 0 4 0
170
// Retrieval info: CONNECT: wrusedw 0 0 4 0 @wrusedw 0 0 4 0
171
// Retrieval info: GEN_FILE: TYPE_NORMAL ptp_queue.v TRUE
172
// Retrieval info: GEN_FILE: TYPE_NORMAL ptp_queue.inc FALSE
173
// Retrieval info: GEN_FILE: TYPE_NORMAL ptp_queue.cmp FALSE
174
// Retrieval info: GEN_FILE: TYPE_NORMAL ptp_queue.bsf FALSE
175
// Retrieval info: GEN_FILE: TYPE_NORMAL ptp_queue_inst.v FALSE
176
// Retrieval info: GEN_FILE: TYPE_NORMAL ptp_queue_bb.v FALSE
177
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.