OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [rtc/] [rtc_timer_tb.v] - Blame information for rev 38

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 edn_walter
/*
2 38 edn_walter
 * rtc_timer_tb.v
3 34 edn_walter
 *
4 37 edn_walter
 * Copyright (c) 2012, BABY&HW. All rights reserved.
5 34 edn_walter
 *
6
 * This library is free software; you can redistribute it and/or
7
 * modify it under the terms of the GNU Lesser General Public
8
 * License as published by the Free Software Foundation; either
9
 * version 2.1 of the License, or (at your option) any later version.
10
 *
11
 * This library is distributed in the hope that it will be useful,
12
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
 * Lesser General Public License for more details.
15
 *
16
 * You should have received a copy of the GNU Lesser General Public
17
 * License along with this library; if not, write to the Free Software
18
 * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston,
19
 * MA 02110-1301  USA
20
 */
21
 
22 3 ash_riple
`timescale 1ns/1ns
23
 
24
module rtc_timer_tb  ;
25
 
26
  reg rst;
27
  reg clk;
28 38 edn_walter
  wire         adj_ld_done;
29 3 ash_riple
  wire [37:0]  time_reg_ns;
30
  wire [47:0]  time_reg_sec;
31
  reg period_ld;
32
  reg [39:0]  period_in;
33
  reg adj_ld;
34
  reg [31:0]  adj_ld_data;
35
  reg [39:0]  period_adj;
36
  reg time_ld;
37
  reg [37:0] time_reg_ns_in;
38
  reg [47:0] time_reg_sec_in;
39 15 edn_walter
  rtc
40 3 ash_riple
   DUT  (
41
      .rst (rst ) ,
42
      .clk (clk ) ,
43
      .time_ld (time_ld ) ,
44
      .time_reg_ns_in (time_reg_ns_in ) ,
45
      .time_reg_sec_in (time_reg_sec_in ) ,
46
      .time_reg_ns (time_reg_ns ) ,
47
      .time_reg_sec (time_reg_sec ) ,
48 38 edn_walter
      .time_ptp_ns ( ) ,
49
      .time_ptp_sec ( ) ,
50 3 ash_riple
      .period_ld (period_ld ) ,
51
      .period_in (period_in ) ,
52
      .adj_ld (adj_ld ) ,
53
      .period_adj (period_adj ) ,
54 38 edn_walter
      .adj_ld_data (adj_ld_data ) ,
55
      .adj_ld_done ( ) );
56 3 ash_riple
 
57
 
58
initial begin
59
        clk = 1'b0;
60
        forever #4  clk = !clk;
61
end
62
initial begin
63
        rst = 1'b0;
64
        @(posedge clk);
65
        rst = 1'b1;
66
        @(posedge clk);
67
        rst = 1'b0;
68
end
69
initial begin
70
        #2000 $stop;
71
end
72
 
73
// main process
74
integer i;
75
initial begin
76
 
77
        /////////////////////////
78
        // reset default values
79
        /////////////////////////
80
 
81
        @(posedge rst);
82
        // frequency load
83
        period_ld        =  1'b0;
84
        period_in[39:32] =  8'h00;        // ns
85
        period_in[31: 0] = 32'h00000000;  // ns fraction
86
        // time load
87
        time_ld              =  1'b0;
88
        time_reg_ns_in[37:8] = 30'd0;          // ns
89
        time_reg_ns_in[ 7:0] =  8'h00;         // ns fraction
90
        time_reg_sec_in      = 48'd0;
91
        // time fine tune load
92
        adj_ld      =  1'b0;
93
        adj_ld_data = 32'd10;
94
        period_adj  = 40'h00_00000000;
95
        @(negedge rst);
96
 
97
        ////////////////////
98
        // time adjustment
99
        ////////////////////
100
 
101
        for (i=0; i<20; i=i+1) @(posedge clk);
102
        // load default period
103
        period_ld          =  1'b1;
104
        period_in[39:32]   =  8'h08;        // ns
105
        period_in[31: 0]   = 32'h00000000;  // ns fraction
106
        @(posedge clk);
107
        period_ld          =  1'b0;
108
 
109
        for (i=0; i<20; i=i+1) @(posedge clk);
110
        // fine tune time difference by 0
111
        adj_ld            =  1'b1;
112
        adj_ld_data       = 32'd10;
113
        period_adj[39:32] =  8'h00;        // ns           // can be negative?
114
        period_adj[31: 0] = 32'h00000000;  // ns fraction
115
        @(posedge clk);
116
        adj_ld            =  1'b0;
117
 
118
        for (i=0; i<20; i=i+1) @(posedge clk);
119
        // load time ToD values
120
        time_ld              =  1'b1;
121
        time_reg_ns_in[37:8] = 30'd999999990;  // ns
122
        time_reg_ns_in[ 7:0] =  8'h00;         // ns fraction
123
        time_reg_sec_in      = 48'd10;
124
        @(posedge clk);
125
        time_ld              =  1'b0;
126
 
127
        for (i=0; i<20; i=i+1) @(posedge clk);
128
        // fine tune frequency difference
129
        period_ld          =  1'b1;
130
        period_in[39:32]   =  8'h08;        // ns
131
        period_in[31: 0]   = 32'h10200000;  // ns fraction
132
        @(posedge clk);
133
        period_ld          =  1'b0;
134
 
135
        for (i=0; i<20; i=i+1) @(posedge clk);
136
        // fine tune time difference
137
        adj_ld            =  1'b1;
138
        adj_ld_data       = 32'd10;
139
        period_adj[39:32] =  8'h02;        // ns           // can be negative?
140
        period_adj[31: 0] = 32'h20800000;  // ns fraction
141
        @(posedge clk);
142
        adj_ld            =  1'b0;
143
end
144
 
145
// sec+ns watchpoint
146
wire [47:0] time_reg_sec_in_    = time_reg_sec_in[47:0];
147
wire [29:0] time_reg_ns_in_     = time_reg_ns_in[37:8];
148
wire [47:0] time_reg_sec_       = time_reg_sec[47:0];
149
wire [29:0] time_reg_ns_        = time_reg_ns[37:8];
150
wire [ 7:0] period_ns_          = period_in[39:32];
151
wire [ 7:0] period_adj_ns_      = period_adj[39:32];
152 19 edn_walter
wire        time_reg_sec_inc_   = DUT.time_acc_48s_inc;
153 3 ash_riple
// ns fraction watchpoint
154
wire [ 7:0] time_reg_ns_in_f     = time_reg_ns_in[7:0];
155
wire [ 7:0] time_reg_ns_f        = time_reg_ns[7:0];
156
wire [31:0] period_ns_f          = period_in[31:0];
157
wire [31:0] period_adj_ns_f      = period_adj[31:0];
158
 
159
// ns time incremental watchpoint
160
reg  [47:0] time_reg_sec__d1;
161
reg  [29:0] time_reg_ns__d1;
162
always @(posedge clk) begin
163
        time_reg_sec__d1 <= time_reg_sec_;
164
        time_reg_ns__d1  <= time_reg_ns_;
165
end
166
wire [29:0] time_reg_ns__delta = (time_reg_sec__d1!=time_reg_sec_)?
167 38 edn_walter
                                (DUT.time_acc_modulo/256-(time_reg_ns__d1-time_reg_ns_)):
168 3 ash_riple
                                (time_reg_ns_-time_reg_ns__d1);
169
 
170
// Delta-Sigma circuit watchpoint
171
wire [23:0] time_adj_08n_32f_24f = rtc_timer_tb.DUT.time_adj_08n_32f[23:0];
172
 
173
endmodule
174
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.