OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [rtc/] [rtc_timer_tb.v] - Blame information for rev 52

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 edn_walter
/*
2 38 edn_walter
 * rtc_timer_tb.v
3 34 edn_walter
 *
4 37 edn_walter
 * Copyright (c) 2012, BABY&HW. All rights reserved.
5 34 edn_walter
 *
6
 * This library is free software; you can redistribute it and/or
7
 * modify it under the terms of the GNU Lesser General Public
8
 * License as published by the Free Software Foundation; either
9
 * version 2.1 of the License, or (at your option) any later version.
10
 *
11
 * This library is distributed in the hope that it will be useful,
12
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
 * Lesser General Public License for more details.
15
 *
16
 * You should have received a copy of the GNU Lesser General Public
17
 * License along with this library; if not, write to the Free Software
18
 * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston,
19
 * MA 02110-1301  USA
20
 */
21
 
22 3 ash_riple
`timescale 1ns/1ns
23
 
24
module rtc_timer_tb  ;
25 42 edn_walter
 
26
  parameter time_acc_modulo = 38'd256000000000/1000000;
27
 
28 3 ash_riple
  reg rst;
29
  reg clk;
30 38 edn_walter
  wire         adj_ld_done;
31 3 ash_riple
  wire [37:0]  time_reg_ns;
32
  wire [47:0]  time_reg_sec;
33
  reg period_ld;
34
  reg [39:0]  period_in;
35
  reg adj_ld;
36
  reg [31:0]  adj_ld_data;
37
  reg [39:0]  period_adj;
38
  reg time_ld;
39
  reg [37:0] time_reg_ns_in;
40
  reg [47:0] time_reg_sec_in;
41 15 edn_walter
  rtc
42 3 ash_riple
   DUT  (
43
      .rst (rst ) ,
44
      .clk (clk ) ,
45
      .time_ld (time_ld ) ,
46
      .time_reg_ns_in (time_reg_ns_in ) ,
47
      .time_reg_sec_in (time_reg_sec_in ) ,
48
      .time_reg_ns (time_reg_ns ) ,
49
      .time_reg_sec (time_reg_sec ) ,
50 38 edn_walter
      .time_ptp_ns ( ) ,
51
      .time_ptp_sec ( ) ,
52 3 ash_riple
      .period_ld (period_ld ) ,
53
      .period_in (period_in ) ,
54
      .adj_ld (adj_ld ) ,
55
      .period_adj (period_adj ) ,
56 38 edn_walter
      .adj_ld_data (adj_ld_data ) ,
57
      .adj_ld_done ( ) );
58 42 edn_walter
  defparam DUT.time_acc_modulo = time_acc_modulo;
59 3 ash_riple
 
60
 
61
initial begin
62
        clk = 1'b0;
63
        forever #4  clk = !clk;
64
end
65
initial begin
66
        rst = 1'b0;
67
        @(posedge clk);
68
        rst = 1'b1;
69
        @(posedge clk);
70
        rst = 1'b0;
71
end
72
 
73
// main process
74
integer i;
75
initial begin
76
 
77
        /////////////////////////
78
        // reset default values
79
        /////////////////////////
80
 
81
        @(posedge rst);
82
        // frequency load
83
        period_ld        =  1'b0;
84
        period_in[39:32] =  8'h00;        // ns
85
        period_in[31: 0] = 32'h00000000;  // ns fraction
86
        // time load
87
        time_ld              =  1'b0;
88
        time_reg_ns_in[37:8] = 30'd0;          // ns
89
        time_reg_ns_in[ 7:0] =  8'h00;         // ns fraction
90
        time_reg_sec_in      = 48'd0;
91
        // time fine tune load
92
        adj_ld      =  1'b0;
93
        adj_ld_data = 32'd10;
94
        period_adj  = 40'h00_00000000;
95
        @(negedge rst);
96
 
97
        ////////////////////
98
        // time adjustment
99
        ////////////////////
100
 
101
        for (i=0; i<20; i=i+1) @(posedge clk);
102
        // load default period
103
        period_ld          =  1'b1;
104
        period_in[39:32]   =  8'h08;        // ns
105
        period_in[31: 0]   = 32'h00000000;  // ns fraction
106
        @(posedge clk);
107
        period_ld          =  1'b0;
108 42 edn_walter
 
109
        for (i=0; i<20; i=i+1) @(posedge clk);
110
        // load time ToD values
111
        time_ld              =  1'b1;
112
        time_reg_ns_in[37:8] = time_acc_modulo/256 - 30'd100;  // ns
113
        time_reg_ns_in[ 7:0] =  8'h00;         // ns fraction
114
        time_reg_sec_in      = 48'd10;
115
        @(posedge clk);
116
        time_ld              =  1'b0;
117 3 ash_riple
 
118
        for (i=0; i<20; i=i+1) @(posedge clk);
119
        // fine tune time difference by 0
120
        adj_ld            =  1'b1;
121 42 edn_walter
        adj_ld_data       = 32'd100;
122
        period_adj[39:32] =  8'h08;        // ns           // positive change
123 3 ash_riple
        period_adj[31: 0] = 32'h00000000;  // ns fraction
124
        @(posedge clk);
125
        adj_ld            =  1'b0;
126
 
127 42 edn_walter
        for (i=0; i<300; i=i+1) @(posedge clk);
128
 
129 3 ash_riple
        for (i=0; i<20; i=i+1) @(posedge clk);
130 42 edn_walter
        // fine tune time difference by 0
131
        adj_ld            =  1'b1;
132
        adj_ld_data       = 32'd100;
133 47 edn_walter
        period_adj[39:32] =  8'hfb;        // ns           // -5 negative change
134 42 edn_walter
        period_adj[31: 0] = 32'h00000000;  // ns fraction
135 3 ash_riple
        @(posedge clk);
136 42 edn_walter
        adj_ld            =  1'b0;
137 3 ash_riple
 
138 42 edn_walter
        for (i=0; i<300; i=i+1) @(posedge clk);
139 47 edn_walter
 
140
        for (i=0; i<20; i=i+1) @(posedge clk);
141
        // fine tune time difference by 0
142
        adj_ld            =  1'b1;
143
        adj_ld_data       = 32'd100;
144
        period_adj[39:32] =  8'hf0;        // ns           // -16 negative change
145
        period_adj[31: 0] = 32'h00000000;  // ns fraction
146
        @(posedge clk);
147
        adj_ld            =  1'b0;
148 42 edn_walter
 
149 47 edn_walter
        for (i=0; i<300; i=i+1) @(posedge clk);
150
 
151 3 ash_riple
        for (i=0; i<20; i=i+1) @(posedge clk);
152
        // fine tune frequency difference
153
        period_ld          =  1'b1;
154
        period_in[39:32]   =  8'h08;        // ns
155
        period_in[31: 0]   = 32'h10200000;  // ns fraction
156
        @(posedge clk);
157
        period_ld          =  1'b0;
158
 
159
        for (i=0; i<20; i=i+1) @(posedge clk);
160
        // fine tune time difference
161
        adj_ld            =  1'b1;
162
        adj_ld_data       = 32'd10;
163 42 edn_walter
        period_adj[39:32] =  8'h02;        // ns           // positive change
164 3 ash_riple
        period_adj[31: 0] = 32'h20800000;  // ns fraction
165
        @(posedge clk);
166
        adj_ld            =  1'b0;
167 42 edn_walter
 
168
        for (i=0; i<500; i=i+1) @(posedge clk);
169
        $stop;
170 3 ash_riple
end
171
 
172
// sec+ns watchpoint
173
wire [47:0] time_reg_sec_in_    = time_reg_sec_in[47:0];
174
wire [29:0] time_reg_ns_in_     = time_reg_ns_in[37:8];
175
wire [47:0] time_reg_sec_       = time_reg_sec[47:0];
176
wire [29:0] time_reg_ns_        = time_reg_ns[37:8];
177
wire [ 7:0] period_ns_          = period_in[39:32];
178
wire [ 7:0] period_adj_ns_      = period_adj[39:32];
179 19 edn_walter
wire        time_reg_sec_inc_   = DUT.time_acc_48s_inc;
180 3 ash_riple
// ns fraction watchpoint
181
wire [ 7:0] time_reg_ns_in_f     = time_reg_ns_in[7:0];
182
wire [ 7:0] time_reg_ns_f        = time_reg_ns[7:0];
183
wire [31:0] period_ns_f          = period_in[31:0];
184
wire [31:0] period_adj_ns_f      = period_adj[31:0];
185
 
186
// ns time incremental watchpoint
187
reg  [47:0] time_reg_sec__d1;
188
reg  [29:0] time_reg_ns__d1;
189
always @(posedge clk) begin
190
        time_reg_sec__d1 <= time_reg_sec_;
191
        time_reg_ns__d1  <= time_reg_ns_;
192
end
193 41 edn_walter
wire [29:0] time_reg_sec__delta = time_reg_sec_-time_reg_sec__d1;
194 3 ash_riple
wire [29:0] time_reg_ns__delta = (time_reg_sec__d1!=time_reg_sec_)?
195 38 edn_walter
                                (DUT.time_acc_modulo/256-(time_reg_ns__d1-time_reg_ns_)):
196 3 ash_riple
                                (time_reg_ns_-time_reg_ns__d1);
197 41 edn_walter
wire [37:0] time_acc_30n_08f_pre = DUT.time_acc_30n_08f_pre_pos - DUT.time_acc_30n_08f_pre_neg;
198 3 ash_riple
 
199
// Delta-Sigma circuit watchpoint
200
wire [23:0] time_adj_08n_32f_24f = rtc_timer_tb.DUT.time_adj_08n_32f[23:0];
201
 
202
endmodule
203
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.