OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [ha1588_tb.v] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 edn_walter
`timescale 1ns/1ns
2
 
3
module ha1588_tb ();
4
 
5
reg up_clk;
6
wire up_wr, up_rd;
7
wire [ 7:0] up_addr;
8
wire [31:0] up_data_wr, up_data_rd;
9
initial begin
10
             up_clk = 1'b0;
11
  forever #5 up_clk = !up_clk;
12
end
13
 
14
reg rtc_clk;
15
initial begin
16
             rtc_clk = 1'b0;
17
  forever #4 rtc_clk = !rtc_clk;
18
end
19
 
20
reg rst;
21
initial begin
22
      rst = 1'b1;
23
  #10 rst = 1'b0;
24
end
25
 
26 24 edn_walter
wire        rx_gmii_clk;
27
wire        rx_gmii_ctrl;
28
wire [ 7:0] rx_gmii_data;
29
wire        tx_gmii_clk;
30
wire        tx_gmii_ctrl;
31
wire [ 7:0] tx_gmii_data;
32
 
33
gmii_rx_bfm NIC_DRV_RX_BFM (
34
  .gmii_rxclk(rx_gmii_clk),
35
  .gmii_rxctrl(rx_gmii_ctrl),
36
  .gmii_rxdata(rx_gmii_data)
37
);
38
 
39
gmii_tx_bfm NIC_DRV_TX_BFM (
40
  .gmii_txclk(tx_gmii_clk),
41
  .gmii_txctrl(tx_gmii_ctrl),
42
  .gmii_txdata(tx_gmii_data)
43
);
44
 
45 21 edn_walter
ptp_drv_bfm_sv PTP_DRV_BFM (
46
  .up_clk(up_clk),
47
  .up_wr(up_wr),
48
  .up_rd(up_rd),
49
  .up_addr(up_addr),
50
  .up_data_wr(up_data_wr),
51
  .up_data_rd(up_data_rd)
52
);
53
 
54 24 edn_walter
ha1588 PTP_HA_DUT (
55 21 edn_walter
  .rst(rst),
56
  .clk(up_clk),
57
  .wr_in(up_wr),
58
  .rd_in(up_rd),
59
  .addr_in(up_addr),
60
  .data_in(up_data_wr),
61
  .data_out(up_data_rd),
62
 
63
  .rtc_clk(rtc_clk),
64 32 edn_walter
  .rtc_time_ptp_ns(),
65
  .rtc_time_ptp_sec(),
66 21 edn_walter
 
67 24 edn_walter
  .rx_gmii_clk(rx_gmii_clk),
68
  .rx_gmii_ctrl(rx_gmii_ctrl),
69
  .rx_gmii_data(rx_gmii_data),
70
  .tx_gmii_clk(tx_gmii_clk),
71
  .tx_gmii_ctrl(tx_gmii_ctrl),
72
  .tx_gmii_data(tx_gmii_data)
73 21 edn_walter
);
74
 
75
initial begin
76
        ha1588_tb.PTP_DRV_BFM.up_start = 1;
77
        #100000000 $stop;
78
end
79
 
80
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.