OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [ptp_drv_bfm/] [ptp_drv_bfm.v] - Blame information for rev 21

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 edn_walter
`timescale 1ns/1ns
2
 
3
module ptp_drv_bfm_sv
4
(
5
        input         up_clk,
6
        output        up_wr,
7
        output        up_rd,
8
        output [ 7:0] up_addr,
9
        output [31:0] up_data_wr,
10
        input  [31:0] up_data_rd
11
);
12
 
13
import "DPI-C" context task ptp_drv_bfm_c
14
(
15
        input real fw_delay
16
);
17
 
18
reg  [ 7:0] up_addr_o;
19
reg  [31:0] up_data_o;
20
wire [31:0] up_data_i;
21
reg         up_wr_o;
22
reg         up_rd_o;
23
 
24
export "DPI-C" task cpu_wr;
25
task cpu_wr(input int addr, input int data);
26
        integer i;
27
        //$display("wr %08x %08x", addr, data);
28
        for (i=0; i<1; i=i+1) @(posedge up_clk);
29
        up_addr_o = addr;
30
        up_data_o = data;
31
        up_wr_o   = 1'b1;
32
        for (i=0; i<1; i=i+1) @(posedge up_clk);
33
        up_addr_o = addr;
34
        up_data_o = data;
35
        up_wr_o   = 1'b0;
36
        for (i=0; i<1; i=i+1) @(posedge up_clk);
37
endtask
38
 
39
export "DPI-C" task cpu_rd;
40
task cpu_rd(input int addr, output int data);
41
        integer i;
42
        for (i=0; i<2; i=i+1) @(posedge up_clk);
43
        up_addr_o = addr;
44
        up_rd_o   = 1'b1;
45
        for (i=0; i<1; i=i+1) @(posedge up_clk);
46
        up_addr_o = addr;
47
        up_rd_o   = 1'b0;
48
        for (i=0; i<2; i=i+1) @(posedge up_clk);
49
        //$display("rd %08x %08x", addr, data);
50
endtask
51
 
52
export "DPI-C" task cpu_hd;
53
task cpu_hd(input int t);
54
        integer i;
55
        //$display("#%d",t);
56
        for (i=0; i<=t; i=i+1) @(posedge up_clk);
57
endtask
58
 
59
assign up_wr      = up_wr_o;
60
assign up_rd      = up_rd_o;
61
assign up_addr    = up_addr_o;
62
assign up_data_wr = up_data_o;
63
assign up_data_i  = up_data_rd;
64
 
65
 
66
 
67
// start cpu bfm C model
68
reg up_start;
69
initial begin
70
        up_wr_o   = 1'b0;
71
        up_rd_o   = 1'b0;
72
        up_addr_o = 'd0;
73
        up_data_o = 'd0;
74
 
75
        @(posedge up_start);
76
        #100 ptp_drv_bfm_c(5);
77
end
78
 
79
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.