OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [wave.do] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 edn_walter
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -divider {New Divider}
4
add wave -noupdate -format Logic /ha1588_tb/up_clk
5
add wave -noupdate -format Logic /ha1588_tb/up_wr
6
add wave -noupdate -format Logic /ha1588_tb/up_rd
7
add wave -noupdate -format Literal /ha1588_tb/up_addr
8
add wave -noupdate -format Literal /ha1588_tb/up_data_wr
9
add wave -noupdate -format Literal /ha1588_tb/up_data_rd
10
add wave -noupdate -format Logic /ha1588_tb/rtc_clk
11
add wave -noupdate -divider {New Divider}
12
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rgs/addr_in
13
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/wr_in
14
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/rd_in
15
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rgs/data_in
16
add wave -noupdate -divider {New Divider}
17
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/cs_00
18
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rgs/reg_00
19
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rgs/data_out_reg
20
add wave -noupdate -divider {New Divider}
21
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/period_ld_out
22
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/rtc_rst_out
23
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/time_ld_out
24
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/adj_ld_out
25
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/time_rd_req
26
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/time_rd_ack
27
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rgs/time_ok
28
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rtc/time_reg_ns
29
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rtc/time_acc_48s_inc
30
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rtc/time_reg_sec
31
add wave -noupdate -divider {New Divider}
32
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rtc/rst
33
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rtc/adj_ld
34
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rtc/adj_cnt
35
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rtc/time_adj
36
add wave -noupdate -divider {New Divider}
37
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rx_tsu/rst
38
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rx_tsu/q_rst
39
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_rx_tsu/ptp_found
40
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rx_tsu/ptp_infor
41
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_rx_tsu/q_rdusedw
42
add wave -noupdate -format Literal -radix unsigned /ha1588_tb/NIC_DRV_RX_BFM/num_rx
43
add wave -noupdate -divider {New Divider}
44
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_tx_tsu/rst
45
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_tx_tsu/q_rst
46
add wave -noupdate -format Logic /ha1588_tb/PTP_HA_DUT/u_tx_tsu/ptp_found
47
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_tx_tsu/ptp_infor
48
add wave -noupdate -format Literal /ha1588_tb/PTP_HA_DUT/u_tx_tsu/q_rdusedw
49
add wave -noupdate -format Literal -radix unsigned /ha1588_tb/NIC_DRV_TX_BFM/num_tx
50
add wave -noupdate -divider {New Divider}
51
TreeUpdate [SetDefaultTree]
52
WaveRestoreCursors {{Cursor 1} {3718000 ps} 0}
53
configure wave -namecolwidth 333
54
configure wave -valuecolwidth 100
55
configure wave -justifyvalue left
56
configure wave -signalnamewidth 0
57
configure wave -snapdistance 10
58
configure wave -datasetprefix 0
59
configure wave -rowmargin 4
60
configure wave -childrowmargin 2
61
configure wave -gridoffset 0
62
configure wave -gridperiod 1
63
configure wave -griddelta 40
64
configure wave -timeline 0
65
configure wave -timelineunits ns
66
update
67
WaveRestoreZoom {0 ps} {2026775 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.