OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.do] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ash_riple
quit -sim
2
 
3 5 ash_riple
vlib altera
4 13 edn_walter
vdel -lib altera -all
5
vlib work
6
vdel -lib work -all
7
 
8
vlib altera
9 5 ash_riple
vlog -work altera altera_mf.v
10
 
11 4 ash_riple
vlib work
12 15 edn_walter
vlog -work work ../../rtl/tsu/tsu.v
13 4 ash_riple
vlog -work work ../../rtl/tsu/ptp_parser.v
14 5 ash_riple
vlog -work work ../../rtl/tsu/ptp_queue.v
15 4 ash_riple
vlog -work work gmii_rx_bfm.v
16
vlog -work work gmii_tx_bfm.v
17
vlog -work work tsu_queue_tb.v
18 5 ash_riple
vsim -novopt -L altera work.tsu_queue_tb
19 4 ash_riple
 
20
log -r */*
21
radix -hexadecimal
22
do wave.do
23
 
24
run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.