OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.do] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ash_riple
quit -sim
2
 
3
vlib work
4
vlog -work work ../../rtl/tsu/tsu_queue.v
5
vlog -work work ../../rtl/tsu/ptp_parser.v
6
vlog -work work gmii_rx_bfm.v
7
vlog -work work gmii_tx_bfm.v
8
vlog -work work tsu_queue_tb.v
9
vsim -novopt work.tsu_queue_tb
10
 
11
log -r */*
12
radix -hexadecimal
13
do wave.do
14
 
15
run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.