OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.do] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ash_riple
quit -sim
2
 
3 5 ash_riple
vlib altera
4
vlog -work altera altera_mf.v
5
 
6 4 ash_riple
vlib work
7
vlog -work work ../../rtl/tsu/tsu_queue.v
8
vlog -work work ../../rtl/tsu/ptp_parser.v
9 5 ash_riple
vlog -work work ../../rtl/tsu/ptp_queue.v
10 4 ash_riple
vlog -work work gmii_rx_bfm.v
11
vlog -work work gmii_tx_bfm.v
12
vlog -work work tsu_queue_tb.v
13 5 ash_riple
vsim -novopt -L altera work.tsu_queue_tb
14 4 ash_riple
 
15
log -r */*
16
radix -hexadecimal
17
do wave.do
18
 
19
run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.