OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [tsu_queue_tb.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ash_riple
`timescale 1ns/1ns
2
 
3
module tsu_queue_tb;
4
 
5 5 ash_riple
reg         rst;
6
wire        gmii_rxclk;
7
wire        gmii_rxctrl;
8
wire [ 7:0] gmii_rxdata;
9
wire        gmii_txclk;
10
wire        gmii_txctrl;
11
wire [ 7:0] gmii_txdata;
12
reg         rtc_timer_clk;
13
reg  [79:0] rtc_timer_in;
14
reg         q_rd_clk;
15
reg         q_rd_en;
16
wire [ 7:0] q_rd_stat;
17 9 edn_walter
wire [55:0] q_rd_data;
18 4 ash_riple
 
19
initial begin
20 8 edn_walter
  // emulate the hardware behavior when power-up
21 4 ash_riple
  DUT_RX.ts_ack = 1'b0;
22 8 edn_walter
  DUT_TX.ts_ack = 1'b0;
23 4 ash_riple
 
24
      rst = 1'b0;
25
  #10 rst = 1'b1;
26
  #20 rst = 1'b0;
27
end
28
 
29
initial begin
30 5 ash_riple
             q_rd_clk = 1'b0;
31
  forever #5 q_rd_clk = !q_rd_clk;
32
end
33
 
34
initial begin
35 4 ash_riple
             rtc_timer_clk = 1'b0;
36
  forever #4 rtc_timer_clk = !rtc_timer_clk;
37
end
38
 
39
initial begin
40
                                   rtc_timer_in = 80'd0;
41
  forever @(posedge rtc_timer_clk) rtc_timer_in = rtc_timer_in +1;
42
end
43
 
44
tsu_queue DUT_RX
45
  (
46
    .rst(rst),
47
 
48
    .gmii_clk(gmii_rxclk),
49
    .gmii_ctrl(gmii_rxctrl),
50
    .gmii_data(gmii_rxdata),
51
 
52
    .rtc_timer_clk(rtc_timer_clk),
53 9 edn_walter
    .rtc_timer_in(rtc_timer_in[31:0]),
54 5 ash_riple
 
55
    .q_rst(rst),
56
    .q_rd_clk(q_rd_clk),
57
    .q_rd_en(q_rd_en),
58
    .q_rd_stat(q_rd_stat),
59
    .q_rd_data(q_rd_data)
60 4 ash_riple
  );
61
 
62
gmii_rx_bfm BFM_RX
63
  (
64
    .gmii_rxclk(gmii_rxclk),
65
    .gmii_rxctrl(gmii_rxctrl),
66
    .gmii_rxdata(gmii_rxdata)
67
  );
68
 
69
tsu_queue DUT_TX
70
  (
71
    .rst(rst),
72
 
73
    .gmii_clk(gmii_txclk),
74
    .gmii_ctrl(gmii_txctrl),
75
    .gmii_data(gmii_txdata),
76
 
77
    .rtc_timer_clk(rtc_timer_clk),
78 9 edn_walter
    .rtc_timer_in(rtc_timer_in[31:0]),
79 5 ash_riple
 
80
    .q_rst(rst),
81
    .q_rd_clk(q_rd_clk),
82
    .q_rd_en(),
83
    .q_rd_stat(),
84
    .q_rd_data()
85 4 ash_riple
  );
86
 
87
gmii_tx_bfm BFM_TX
88
  (
89
    .gmii_txclk(gmii_txclk),
90
    .gmii_txctrl(gmii_txctrl),
91
    .gmii_txdata(gmii_txdata)
92
  );
93
 
94
endmodule
95
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.