OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [wave.do] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 edn_walter
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_clk
4
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_ctrl
5
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/gmii_data
6
add wave -noupdate -divider {New Divider}
7
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_req
8
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/rtc_time_stamp
9
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack
10
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack_clr
11
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/gmii_time_stamp
12
add wave -noupdate -divider {New Divider}
13
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_gmii_ctrl
14
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_gmii_data
15
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_bcnt
16
add wave -noupdate -divider {New Divider}
17
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid
18
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop
19
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop
20
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
21
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
22
add wave -noupdate -divider {New Divider}
23
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/int_cnt
24
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv4_cnt
25
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv6_cnt
26
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_udp_cnt
27
add wave -noupdate -divider {New Divider}
28 29 edn_walter
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid_d1
29
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop_d1
30
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop_d1
31
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod_d1
32
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data_d1
33 13 edn_walter
add wave -noupdate -divider {New Divider}
34
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_vlan
35
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv4
36
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv6
37
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_udp
38
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l2
39
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l4
40
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
41 29 edn_walter
add wave -noupdate -divider {New Divider}
42
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_data_d1
43
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/ptp_cnt
44
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_data
45 13 edn_walter
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
46
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
47
add wave -noupdate -divider {New Divider}
48
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
49
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
50 29 edn_walter
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_clk
51
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_en
52
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wr_data
53
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wrusedw
54 13 edn_walter
add wave -noupdate -divider {New Divider}
55
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/BFM_RX/num_rx
56
add wave -noupdate -divider {New Divider}
57
TreeUpdate [SetDefaultTree]
58 29 edn_walter
WaveRestoreCursors {{Cursor 1} {76206000 ps} 0}
59 13 edn_walter
configure wave -namecolwidth 188
60
configure wave -valuecolwidth 165
61
configure wave -justifyvalue left
62
configure wave -signalnamewidth 0
63
configure wave -snapdistance 10
64
configure wave -datasetprefix 0
65
configure wave -rowmargin 4
66
configure wave -childrowmargin 2
67
configure wave -gridoffset 0
68
configure wave -gridperiod 1
69
configure wave -griddelta 40
70
configure wave -timeline 0
71
configure wave -timelineunits ns
72
update
73 29 edn_walter
WaveRestoreZoom {0 ps} {317931600 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.