OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [wave.do] - Blame information for rev 35

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 edn_walter
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_clk
4
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_ctrl
5
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/gmii_data
6
add wave -noupdate -divider {New Divider}
7
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_req
8
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/rtc_time_stamp
9
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack
10
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack_clr
11
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/gmii_time_stamp
12
add wave -noupdate -divider {New Divider}
13
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_gmii_ctrl
14
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_gmii_data
15
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_bcnt
16
add wave -noupdate -divider {New Divider}
17
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid
18
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop
19
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop
20
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
21
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
22
add wave -noupdate -divider {New Divider}
23
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/int_cnt
24
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv4_cnt
25
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv6_cnt
26
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_udp_cnt
27
add wave -noupdate -divider {New Divider}
28 29 edn_walter
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid_d1
29
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop_d1
30
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop_d1
31
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod_d1
32
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data_d1
33 13 edn_walter
add wave -noupdate -divider {New Divider}
34
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_vlan
35 35 edn_walter
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_mpls
36 13 edn_walter
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv4
37
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv6
38
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_udp
39
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l2
40
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l4
41
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
42 29 edn_walter
add wave -noupdate -divider {New Divider}
43
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_data_d1
44
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/ptp_cnt
45
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_data
46 13 edn_walter
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
47
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
48
add wave -noupdate -divider {New Divider}
49
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
50
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
51 29 edn_walter
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_clk
52
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_en
53
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wr_data
54
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wrusedw
55 13 edn_walter
add wave -noupdate -divider {New Divider}
56
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/BFM_RX/num_rx
57 35 edn_walter
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/rx_ptp_event_cnt
58 13 edn_walter
add wave -noupdate -divider {New Divider}
59
TreeUpdate [SetDefaultTree]
60 35 edn_walter
WaveRestoreCursors {{Cursor 1} {535600 ps} 0}
61 13 edn_walter
configure wave -namecolwidth 188
62
configure wave -valuecolwidth 165
63
configure wave -justifyvalue left
64
configure wave -signalnamewidth 0
65
configure wave -snapdistance 10
66
configure wave -datasetprefix 0
67
configure wave -rowmargin 4
68
configure wave -childrowmargin 2
69
configure wave -gridoffset 0
70
configure wave -gridperiod 1
71
configure wave -griddelta 40
72
configure wave -timeline 0
73
configure wave -timelineunits ns
74
update
75 35 edn_walter
WaveRestoreZoom {0 ps} {389986800 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.