OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [wave.do] - Blame information for rev 72

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 edn_walter
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_clk
4
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_ctrl
5
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/gmii_data
6
add wave -noupdate -divider {New Divider}
7
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/nibble_h
8
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_ctrl_conv
9
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/gmii_data_conv
10
add wave -noupdate -divider {New Divider}
11
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_req
12
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/rtc_time_stamp
13
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack
14
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack_clr
15
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/tsu_time_stamp
16
add wave -noupdate -divider {New Divider}
17
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_gmii_ctrl
18
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_gmii_data
19
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_bcnt
20
add wave -noupdate -divider {New Divider}
21
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid
22
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop
23
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop
24
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
25
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
26
add wave -noupdate -divider {New Divider}
27
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid_d1
28
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop_d1
29
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop_d1
30
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod_d1
31
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data_d1
32
add wave -noupdate -divider {New Divider}
33
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/int_cnt
34
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv4_cnt
35
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv6_cnt
36
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_udp_cnt
37
add wave -noupdate -divider {New Divider}
38
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_vlan
39
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_mpls
40
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv4
41
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv6
42
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_udp
43
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l2
44
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l4
45
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
46
add wave -noupdate -divider {New Divider}
47
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_data_d1
48
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/ptp_cnt
49
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_data
50
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
51
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
52
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_cksum
53
add wave -noupdate -divider {New Divider}
54
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
55
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
56
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_clk
57
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_en
58
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wr_data
59
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/q_wrusedw
60
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdclk
61
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdreq
62
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/queue/rdusedw
63
add wave -noupdate -divider {New Divider}
64
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/BFM_RX/num_rx
65
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/rx_ptp_event_cnt
66
add wave -noupdate -divider {New Divider}
67
TreeUpdate [SetDefaultTree]
68
WaveRestoreCursors {{Cursor 1} {381314 ps} 0}
69
configure wave -namecolwidth 188
70
configure wave -valuecolwidth 165
71
configure wave -justifyvalue left
72
configure wave -signalnamewidth 0
73
configure wave -snapdistance 10
74
configure wave -datasetprefix 0
75
configure wave -rowmargin 4
76
configure wave -childrowmargin 2
77
configure wave -gridoffset 0
78
configure wave -gridperiod 1
79
configure wave -griddelta 40
80
configure wave -timeline 0
81
configure wave -timelineunits ns
82
update
83
WaveRestoreZoom {384590838 ps} {384971009 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.