OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [wave.do] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ash_riple
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_clk
4
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_ctrl
5
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/gmii_data
6
add wave -noupdate -divider {New Divider}
7
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_req
8
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/rtc_time_stamp
9
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack
10
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack_clr
11
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/gmii_time_stamp
12
add wave -noupdate -divider {New Divider}
13
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_gmii_ctrl
14
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_gmii_data
15
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_bcnt
16
add wave -noupdate -divider {New Divider}
17
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid
18
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop
19
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop
20
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
21
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
22
add wave -noupdate -divider {New Divider}
23 8 edn_walter
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/ptp_cnt
24 4 ash_riple
add wave -noupdate -divider {New Divider}
25
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_valid_d1
26
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_sop_d1
27
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_eop_d1
28
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_mod_d1
29
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_data_d1
30
add wave -noupdate -divider {New Divider}
31
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_vlan
32
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_ip
33
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_udp
34
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_port
35
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
36 8 edn_walter
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
37 4 ash_riple
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
38
add wave -noupdate -divider {New Divider}
39
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
40
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
41
add wave -noupdate -divider {New Divider}
42 5 ash_riple
add wave -noupdate -divider {New Divider}
43 8 edn_walter
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_TX/parser/ptp_cnt
44 5 ash_riple
add wave -noupdate -divider {New Divider}
45 8 edn_walter
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_valid_d1
46
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_sop_d1
47
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_eop_d1
48
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_mod_d1
49
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_data_d1
50
add wave -noupdate -divider {New Divider}
51
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_vlan
52
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_ip
53
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_udp
54
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_port
55
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_event
56
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_msgid
57
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_seqid
58
add wave -noupdate -divider {New Divider}
59
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_found
60
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_infor
61
add wave -noupdate -divider {New Divider}
62 4 ash_riple
TreeUpdate [SetDefaultTree]
63 8 edn_walter
WaveRestoreCursors {{Cursor 1} {21230000 ps} 0}
64
configure wave -namecolwidth 307
65 4 ash_riple
configure wave -valuecolwidth 165
66
configure wave -justifyvalue left
67
configure wave -signalnamewidth 0
68
configure wave -snapdistance 10
69
configure wave -datasetprefix 0
70
configure wave -rowmargin 4
71
configure wave -childrowmargin 2
72
configure wave -gridoffset 0
73
configure wave -gridperiod 1
74
configure wave -griddelta 40
75
configure wave -timeline 0
76
configure wave -timelineunits ns
77
update
78 8 edn_walter
WaveRestoreZoom {16852432 ps} {30375568 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.