OpenCores
URL https://opencores.org/ocsvn/hamming/hamming/trunk

Subversion Repositories hamming

[/] [hamming/] [branches/] [avendor/] [ham_7_4_dec/] [bench/] [ham_7_4_dec_tb.v] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 soneryesil
module stimulus(
2
clk,
3
reset,
4
datain,
5
dvin,
6
code,
7
dvout);
8
 
9
 
10
input dvout;
11
input code;
12
 
13
output clk, reset, dvin;
14
reg clk, reset, dvin;
15
 
16
output datain;
17
reg datain;
18
 
19
initial
20
begin
21
        reset = 0;
22
        #200
23
        reset = 1;
24
end
25
 
26
initial clk = 1;
27
 
28
always
29
begin
30
        #20
31
        clk = !clk;
32
end
33
 
34
initial
35
begin
36
        datain = 0;
37
        #300
38
        datain = 0;
39
        #40
40
        datain = 1;
41
        #40
42
        datain = 0;
43
        #40
44
        datain = 0;
45
        #40
46
        datain = 0;
47
        #40
48
        datain = 0;
49
        #40
50
        datain = 0;
51
        #40
52
        datain = 0;
53
        #40
54
        datain = 0;
55
 
56
 
57
 
58
 
59
end
60
 
61
initial
62
begin
63
        dvin = 1;
64
        #300
65
        dvin = 0;
66
        #280
67
        dvin = 1;
68
end
69
 
70
initial #10000 $finish;
71
 
72
ham_7_4_dec ham_7_4_dec_0(
73
 
74
.clk(clk),
75
.reset(reset),
76
.datain(datain),
77
.dvin(dvin),
78
.code(code),
79
.dvout(dvout));
80
 
81
 
82
endmodule
83
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.