OpenCores
URL https://opencores.org/ocsvn/hd63701/hd63701/trunk

Subversion Repositories hd63701

[/] [hd63701/] [trunk/] [HD63701_defs.i] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 thasega
/***************************************************************************
2
       This file is part of "HD63701V0 Compatible Processor Core".
3
      ( DON'T ADD TO PROJECT, Because this file is include file. )
4
****************************************************************************/
5
`define vaRST           8'hFE           // RESET        Vector $FFFE
6
`define vaTRP           8'hEE           // TRAP Vector $FFEE
7
`define vaNMI           8'hFC           // NMI  Vector $FFFC    (NMI signal)
8
`define vaSWI           8'hFA           // SWI  Vector $FFFA    (Software Interrupt)
9
`define vaIRQ           8'hF8           // IRQ  Vector $FFF8    (IRQ signal)
10
`define vaICF           8'hF6           // ICF  Vector $FFF6    (Timer Input Capture)
11
`define vaOCF           8'hF4           // OCF  Vector $FFF4    (Timer Output Compare)
12
`define vaTOF           8'hF2           // TOF  Vector $FFF2    (Timer OverFlow)
13
`define vaSCI           8'hF0           // SCI  Vector $FFF0    (Serial)
14
`define vaWAI           8'h00           // WAI  Vector                  (Special)
15
 
16
 
17
`define phRST            0
18
//------------------
19
`define phVECT           1
20
`define phVEC1           2
21
`define phVEC2           3
22
//------------------
23
`define phEXEC          16
24
`define phEXEC1 17
25
`define phEXEC2 18
26
`define phEXEC3 19
27
`define phEXEC4 20
28
`define phEXEC5 21
29
`define phEXEC6 22
30
`define phEXEC7 23
31
`define phEXEC8 24
32
`define phEXEC9 25
33
//------------------
34
`define phINTR          32
35
`define phINTR1 33
36
`define phINTR2 34
37
`define phINTR3 35
38
`define phINTR4 36
39
`define phINTR5 37
40
`define phINTR6 38
41
`define phINTR7 39
42
`define phINTR8 40
43
`define phINTR9 41
44
//------------------
45
`define phSLEP          62
46
`define phHALT          63
47
 
48
 
49
`define mcNOP           `mcLDN
50
`define mcLDN           5'd0
51
`define mcINC           5'd1
52
`define mcADD           5'd2
53
`define mcADC           5'd3
54
`define mcDEC           5'd4
55
`define mcSUB           5'd5
56
`define mcSBC           5'd6
57
`define mcMUL           5'd7
58
`define mcNEG           5'd8
59
`define mcNOT           5'd9
60
`define mcAND           5'd10
61
`define mcLOR           5'd11
62
`define mcEOR           5'd12
63
`define mcASL           5'd13
64
`define mcASR           5'd14
65
`define mcLSR           5'd15
66
`define mcROL           5'd16
67
`define mcROR           5'd17
68
`define mcCCB           5'd18
69
`define mcSCB           5'd19
70
`define mcLDR           5'd20
71
`define mcTST           5'd21
72
//---------------------
73
`define mcINT           5'd25
74
`define mcPSH           5'd26
75
`define mcPUL           5'd27
76
`define mcXTD           5'd28
77
`define mcDAA           5'd29
78
`define mcAPC           5'd30
79
`define mcLDV           5'd31
80
 
81
 
82
                                                                        // [2] : 0=byte,1=word
83
`define mcrn            4'd0            // none
84
`define mcrA            4'd1
85
`define mcrB            4'd2
86
`define mcrC            4'd3
87
 
88
`define mcrD            4'd4            // {A,B}
89
`define mcrX            4'd5
90
`define mcrS            4'd6
91
`define mcrP            4'd7
92
 
93
`define mcrU            4'd8
94
`define mcrV            4'd9
95
`define mcrN            4'd10           // x.H <-> (rE)
96
`define mcrM            4'd11           // x.L <-> (rE)
97
 
98
`define mcrT            4'd12           // {U,V} Temporary
99
`define mcrE            4'd13           // Effective Address
100
`define mcrI            4'd14           // Immidiate
101
//---------------------
102
 
103
 
104
`define mcpK            3'd0            // Keep
105
`define mcpN            3'd1            // Next
106
`define mcp0            3'd2            // To Stage0
107
`define mcpI            3'd3            // To Interrupt
108
`define mcpV            3'd4            // To Vector
109
//------------------------------------------
110
`define mcpH            3'd6            // To HALT
111
`define mcpS            3'd7            // To SLEEP
112
 
113
 
114
`define amPC            3'd0
115
`define amP1            3'd1
116
`define amSP            3'd2
117
`define amS1            3'd3
118
`define amX0            3'd4
119
`define amXT            3'd5
120
`define amE0            3'd6
121
`define amE1            3'd7
122
 
123
 
124
`define pcN                     1'b0            // PC=PC
125
`define pcI                     1'b1            // PC=PC+1
126
 
127
 
128
//                    +- N^V
129
//                    |
130
`define bfRA            8'b00000000
131
`define bfRN            8'b10000000
132
`define bfHI            8'b00000101
133
`define bfLS            8'b10000101
134
`define bfCC            8'b00000001
135
`define bfCS            8'b10000001
136
`define bfNE            8'b00000100
137
`define bfEQ            8'b10000100
138
`define bfVC            8'b00000010
139
`define bfVS            8'b10000010
140
`define bfPL            8'b00001000
141
`define bfMI            8'b10001000
142
`define bfGE            8'b01000000
143
`define bfLT            8'b11000000
144
`define bfGT            8'b01000100
145
`define bfLE            8'b11000100
146
 
147
`define bfC                     8'b00000001
148
`define bfV                     8'b00000010
149
`define bfZ                     8'b00000100
150
`define bfN                     8'b00001000
151
`define bfI                     8'b00010000
152
`define bfH                     8'b00100000
153
 
154
 
155
`define mcwidth [23:0]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.