OpenCores
URL https://opencores.org/ocsvn/hdl-deflate/hdl-deflate/trunk

Subversion Repositories hdl-deflate

[/] [hdl-deflate/] [trunk/] [Makefile] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tomtor
PYTHON=python3
2
 
3
MODULES=deflate.py test_deflate.py
4
 
5
all: build test
6
 
7
build: $(MODULES)
8
        for p in $?; do $(PYTHON) $$p; done
9
 
10
test: icarus
11
 
12
test_fast_bench.v: $(MODULES)
13
        for p in $?; do $(PYTHON) $$p; done
14
 
15
icarus: test_fast_bench.v
16
        iverilog -o test_deflate test_fast_bench.v dump.v
17
        vvp test_deflate
18
 
19
clean:
20
        rm -f *.vcd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.