OpenCores
URL https://opencores.org/ocsvn/hdlc/hdlc/trunk

Subversion Repositories hdlc

[/] [hdlc/] [trunk/] [CODE/] [LIBS/] [hdlc_components_pkg.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 khatib
-------------------------------------------------------------------------------
2
-- Title      :  HDLC components package
3
-- Project    :  HDLC controller
4
-------------------------------------------------------------------------------
5
-- File        : hdlc_components_pkg.vhd
6
-- Author      : Jamil Khatib  (khatib@ieee.org)
7
-- Organization: OpenIPCore Project
8
-- Created     : 2000/12/30
9
-- Last update : 2000/12/30
10
-- Platform    : 
11
-- Simulators  : Modelsim 5.3XE/Windows98
12
-- Synthesizers: 
13
-- Target      : 
14
-- Dependency  : ieee.std_logic_1164
15
--
16
-------------------------------------------------------------------------------
17
-- Description:  HDLC components package
18
-------------------------------------------------------------------------------
19
-- Copyright (c) 2000 Jamil Khatib
20
-- 
21
-- This VHDL design file is an open design; you can redistribute it and/or
22
-- modify it and/or implement it after contacting the author
23
-- You can check the draft license at
24
-- http://www.opencores.org/OIPC/license.shtml
25
 
26
-------------------------------------------------------------------------------
27
-- Revisions  :
28
-- Revision Number :   1
29
-- Version         :   0.1
30
-- Date            :   30 Dec 2000
31
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
32
-- Desccription    :   Created
33
-- ToOptimize      :
34
-- Bugs            : 
35
-------------------------------------------------------------------------------
36
library ieee;
37
use ieee.std_logic_1164.all;
38
 
39
package hdlc_components_pkg is
40
 
41
  component rxcont_ent
42
    port (
43
      RxClk        : in  std_logic;
44
      rst          : in  std_logic;
45
      RxEn         : in  std_logic;
46
      AbortedFrame : out std_logic;
47
      Abort        : in  std_logic;
48
      FlagDetect   : in  std_logic;
49
      ValidFrame   : out std_logic;
50
      FrameError   : out std_logic;
51
      aval         : in  std_logic;
52
      initzero     : out std_logic;
53
      enable       : out std_logic);
54
  end component;
55
 
56
 
57
  component ZeroDetect_ent
58
    port (
59
      Readbyte     : in  std_logic;
60
      aval         : out std_logic;
61
      enable       : in  std_logic;
62
      StartOfFrame : in  std_logic;
63
      rdy          : out std_logic;
64
      rst          : in  std_logic;
65
      RxClk        : in  std_logic;
66
      RxD          : in  std_logic;
67
      RxData       : out std_logic_vector(7 downto 0));
68
  end component;
69
 
70
  component FlagDetect_ent
71
    port (
72
      Rxclk      : in  std_logic;
73
      rst        : in  std_logic;
74
      FlagDetect : out std_logic;
75
      Abort      : out std_logic;
76
      RXD        : out std_logic;
77
      RX         : in  std_logic);
78
  end component;
79
 
80
  component RxChannel_ent
81
    port (
82
      Rxclk       : in  std_logic;
83
      rst         : in  std_logic;
84
      Rx          : in  std_logic;
85
      RxData      : out std_logic_vector(7 downto 0);
86
      ValidFrame  : out std_logic;
87
      AbortSignal : out std_logic;
88
      FrameError : out std_logic;
89
      Readbyte    : in  std_logic;
90
      rdy         : out std_logic;
91
      RxEn        : in  std_logic);
92
  end component;
93
 
94
end hdlc_components_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.