OpenCores
URL https://opencores.org/ocsvn/hdlc/hdlc/trunk

Subversion Repositories hdlc

[/] [hdlc/] [trunk/] [CODE/] [LIBS/] [hdlc_components_pkg.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 khatib
-------------------------------------------------------------------------------
2
-- Title      :  HDLC components package
3
-- Project    :  HDLC controller
4
-------------------------------------------------------------------------------
5
-- File        : hdlc_components_pkg.vhd
6
-- Author      : Jamil Khatib  (khatib@ieee.org)
7
-- Organization: OpenIPCore Project
8
-- Created     : 2000/12/30
9 5 khatib
-- Last update: 2001/01/12
10 2 khatib
-- Platform    : 
11
-- Simulators  : Modelsim 5.3XE/Windows98
12
-- Synthesizers: 
13
-- Target      : 
14
-- Dependency  : ieee.std_logic_1164
15
--
16
-------------------------------------------------------------------------------
17
-- Description:  HDLC components package
18
-------------------------------------------------------------------------------
19
-- Copyright (c) 2000 Jamil Khatib
20
-- 
21
-- This VHDL design file is an open design; you can redistribute it and/or
22
-- modify it and/or implement it after contacting the author
23
-- You can check the draft license at
24
-- http://www.opencores.org/OIPC/license.shtml
25
 
26
-------------------------------------------------------------------------------
27
-- Revisions  :
28
-- Revision Number :   1
29
-- Version         :   0.1
30
-- Date            :   30 Dec 2000
31
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
32
-- Desccription    :   Created
33
-- ToOptimize      :
34
-- Bugs            : 
35
-------------------------------------------------------------------------------
36 5 khatib
-- Revisions  :
37
-- Revision Number :   2
38
-- Version         :   0.2
39
-- Date            :   12 Jan 2001
40
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
41
-- Desccription    :   RxEnable bug fixed
42
--
43
-------------------------------------------------------------------------------
44 2 khatib
library ieee;
45
use ieee.std_logic_1164.all;
46
 
47
package hdlc_components_pkg is
48
 
49
  component rxcont_ent
50
    port (
51
      RxClk        : in  std_logic;
52
      rst          : in  std_logic;
53
      RxEn         : in  std_logic;
54
      AbortedFrame : out std_logic;
55
      Abort        : in  std_logic;
56
      FlagDetect   : in  std_logic;
57
      ValidFrame   : out std_logic;
58
      FrameError   : out std_logic;
59
      aval         : in  std_logic;
60
      initzero     : out std_logic;
61
      enable       : out std_logic);
62
  end component;
63
 
64
 
65
  component ZeroDetect_ent
66
    port (
67
      Readbyte     : in  std_logic;
68
      aval         : out std_logic;
69
      enable       : in  std_logic;
70
      StartOfFrame : in  std_logic;
71
      rdy          : out std_logic;
72
      rst          : in  std_logic;
73
      RxClk        : in  std_logic;
74
      RxD          : in  std_logic;
75
      RxData       : out std_logic_vector(7 downto 0));
76
  end component;
77
 
78
  component FlagDetect_ent
79
    port (
80
      Rxclk      : in  std_logic;
81
      rst        : in  std_logic;
82
      FlagDetect : out std_logic;
83
      Abort      : out std_logic;
84 5 khatib
      RXEN       : in  std_logic;
85
      RXEN_O     : out std_logic;
86 2 khatib
      RXD        : out std_logic;
87
      RX         : in  std_logic);
88
  end component;
89
 
90
  component RxChannel_ent
91
    port (
92
      Rxclk       : in  std_logic;
93
      rst         : in  std_logic;
94
      Rx          : in  std_logic;
95
      RxData      : out std_logic_vector(7 downto 0);
96
      ValidFrame  : out std_logic;
97
      AbortSignal : out std_logic;
98 5 khatib
      FrameError  : out std_logic;
99 2 khatib
      Readbyte    : in  std_logic;
100
      rdy         : out std_logic;
101
      RxEn        : in  std_logic);
102
  end component;
103
 
104
end hdlc_components_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.