OpenCores
URL https://opencores.org/ocsvn/hdlc/hdlc/trunk

Subversion Repositories hdlc

[/] [hdlc/] [trunk/] [CODE/] [LIBS/] [hdlc_components_pkg.vhd] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 khatib
-------------------------------------------------------------------------------
2
-- Title      :  HDLC components package
3
-- Project    :  HDLC controller
4
-------------------------------------------------------------------------------
5
-- File        : hdlc_components_pkg.vhd
6
-- Author      : Jamil Khatib  (khatib@ieee.org)
7
-- Organization: OpenIPCore Project
8
-- Created     : 2000/12/30
9 7 khatib
-- Last update: 2001/01/26
10 2 khatib
-- Platform    : 
11
-- Simulators  : Modelsim 5.3XE/Windows98
12
-- Synthesizers: 
13
-- Target      : 
14
-- Dependency  : ieee.std_logic_1164
15
--
16
-------------------------------------------------------------------------------
17
-- Description:  HDLC components package
18
-------------------------------------------------------------------------------
19
-- Copyright (c) 2000 Jamil Khatib
20
-- 
21
-- This VHDL design file is an open design; you can redistribute it and/or
22
-- modify it and/or implement it after contacting the author
23
-- You can check the draft license at
24
-- http://www.opencores.org/OIPC/license.shtml
25
 
26
-------------------------------------------------------------------------------
27
-- Revisions  :
28
-- Revision Number :   1
29
-- Version         :   0.1
30
-- Date            :   30 Dec 2000
31
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
32
-- Desccription    :   Created
33
-- ToOptimize      :
34
-- Bugs            : 
35
-------------------------------------------------------------------------------
36 5 khatib
-- Revisions  :
37
-- Revision Number :   2
38
-- Version         :   0.2
39
-- Date            :   12 Jan 2001
40
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
41
-- Desccription    :   RxEnable bug fixed
42
--
43
-------------------------------------------------------------------------------
44 7 khatib
-- Revisions  :
45
-- Revision Number :   3
46
-- Version         :   0.3
47
-- Date            :   16 Jan 2001
48
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
49
-- Desccription    :   TX componentes added
50
--
51
-------------------------------------------------------------------------------
52
 
53 2 khatib
library ieee;
54
use ieee.std_logic_1164.all;
55
 
56
package hdlc_components_pkg is
57
 
58 7 khatib
  component TxChannel_ent
59
    port (
60
      TxClk        : in  std_logic;
61
      rst_n        : in  std_logic;
62
      TXEN         : in  std_logic;
63
      Tx           : out std_logic;
64
      ValidFrame   : in  std_logic;
65
      AbortFrame   : in  std_logic;
66
      AbortedTrans : out std_logic;
67
      WriteByte    : in  std_logic;
68
      rdy          : out std_logic;
69
      TxData       : in  std_logic_vector(7 downto 0));
70
  end component;
71
 
72
  component TxCont_ent
73
    port (
74
      TXclk         : in  std_logic;
75
      rst_n         : in  std_logic;
76
      TXEN          : in  std_logic;
77
      enable        : out std_logic;
78
      BackendEnable : out std_logic;
79
      abortedTrans  : in  std_logic;
80
      inProgress    : in  std_logic;
81
      ValidFrame    : in  std_logic;
82
      Frame         : out std_logic;
83
      AbortFrame    : in  std_logic;
84
      AbortTrans    : out std_logic);
85
  end component;
86
 
87
  component flag_ins_ent
88
    port (
89
      TXclk      : in  std_logic;
90
      rst_n      : in  std_logic;
91
      TX         : out std_logic;
92
      TXEN       : in  std_logic;
93
      TXD        : in  std_logic;
94
      AbortFrame : in  std_logic;
95
      Frame      : in  std_logic);
96
  end component;
97
 
98
  component ZeroIns_ent
99
    port (
100
      TxClk         : in  std_logic;
101
      rst_n         : in  std_logic;
102
      enable        : in  std_logic;
103
      BackendEnable : in  std_logic;
104
      abortedTrans  : out std_logic;
105
      inProgress    : out std_logic;
106
      ValidFrame    : in  std_logic;
107
      Writebyte     : in  std_logic;
108
      rdy           : out std_logic;
109
      TXD           : out std_logic;
110
      Data          : in  std_logic_vector(7 downto 0));
111
  end component;
112
 
113 2 khatib
  component rxcont_ent
114
    port (
115
      RxClk        : in  std_logic;
116
      rst          : in  std_logic;
117
      RxEn         : in  std_logic;
118
      AbortedFrame : out std_logic;
119
      Abort        : in  std_logic;
120
      FlagDetect   : in  std_logic;
121
      ValidFrame   : out std_logic;
122
      FrameError   : out std_logic;
123
      aval         : in  std_logic;
124
      initzero     : out std_logic;
125
      enable       : out std_logic);
126
  end component;
127
 
128
 
129
  component ZeroDetect_ent
130
    port (
131
      Readbyte     : in  std_logic;
132
      aval         : out std_logic;
133
      enable       : in  std_logic;
134
      StartOfFrame : in  std_logic;
135
      rdy          : out std_logic;
136
      rst          : in  std_logic;
137
      RxClk        : in  std_logic;
138
      RxD          : in  std_logic;
139
      RxData       : out std_logic_vector(7 downto 0));
140
  end component;
141
 
142
  component FlagDetect_ent
143
    port (
144
      Rxclk      : in  std_logic;
145
      rst        : in  std_logic;
146
      FlagDetect : out std_logic;
147
      Abort      : out std_logic;
148 5 khatib
      RXEN       : in  std_logic;
149
      RXEN_O     : out std_logic;
150 2 khatib
      RXD        : out std_logic;
151
      RX         : in  std_logic);
152
  end component;
153
 
154
  component RxChannel_ent
155
    port (
156
      Rxclk       : in  std_logic;
157
      rst         : in  std_logic;
158
      Rx          : in  std_logic;
159
      RxData      : out std_logic_vector(7 downto 0);
160
      ValidFrame  : out std_logic;
161
      AbortSignal : out std_logic;
162 5 khatib
      FrameError  : out std_logic;
163 2 khatib
      Readbyte    : in  std_logic;
164
      rdy         : out std_logic;
165
      RxEn        : in  std_logic);
166
  end component;
167
 
168
end hdlc_components_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.