OpenCores
URL https://opencores.org/ocsvn/hdlc/hdlc/trunk

Subversion Repositories hdlc

[/] [hdlc/] [trunk/] [CODE/] [TX/] [core/] [TxChannel.vhd] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 khatib
-------------------------------------------------------------------------------
2
-- Title      :  Tx Channel
3
-- Project    :  HDLC controller
4
-------------------------------------------------------------------------------
5
-- File        : Txchannel.vhd
6
-- Author      : Jamil Khatib  (khatib@ieee.org)
7
-- Organization: OpenIPCore Project
8
-- Created     :2001/01/11
9
-- Last update: 2001/01/26
10
-- Platform    : 
11
-- Simulators  : Modelsim 5.3XE/Windows98
12
-- Synthesizers: 
13
-- Target      : 
14
-- Dependency  : ieee.std_logic_1164
15
--
16
-------------------------------------------------------------------------------
17
-- Description:  Transmit Channel
18
-------------------------------------------------------------------------------
19
-- Copyright (c) 2000 Jamil Khatib
20
-- 
21
-- This VHDL design file is an open design; you can redistribute it and/or
22
-- modify it and/or implement it after contacting the author
23
-- You can check the draft license at
24
-- http://www.opencores.org/OIPC/license.shtml
25
 
26
-------------------------------------------------------------------------------
27
-- Revisions  :
28
-- Revision Number :   1
29
-- Version         :   0.1
30
-- Date            :   16 Jan 2001
31
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
32
-- Desccription    :   Created
33
-- ToOptimize      :
34
-- Bugs            :   
35
-------------------------------------------------------------------------------
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
 
40
library hdlc;
41
use hdlc.hdlc_components_pkg.all;
42
 
43
entity TxChannel_ent is
44
 
45
  port (
46
    TxClk        : in  std_logic;       -- Tx Clock
47
    rst_n        : in  std_logic;       -- System Reset
48
    TXEN         : in  std_logic;       -- Tx Enable
49
    Tx           : out std_logic;       -- Tx serial Output
50
    ValidFrame   : in  std_logic;       -- Valid Frame
51
    AbortFrame   : in  std_logic;       -- Abort Frame
52
    AbortedTrans : out std_logic;       -- Aborted transmission
53
    WriteByte    : in  std_logic;       -- Write byte
54
    rdy          : out std_logic;       -- Ready signal
55
    TxData       : in  std_logic_vector(7 downto 0));  -- Tx Data bus
56
 
57
end TxChannel_ent;
58
 
59
 
60
architecture Txchannel_str of TxChannel_ent is
61
 
62
  signal TXD_i          : std_logic;    -- Internal TX signal
63
  signal enable_i       : std_logic;    -- Internal Enable
64
  signal abortedTrans_i : std_logic;    -- Backend no valid data
65
  signal AbortTrans_i   : std_logic;    -- Internal Abort transmission signal
66
  signal Frame_i        : std_logic;
67
                                        -- Internal Frame strobe to flag insert block
68
  signal inProgress_i   : std_logic;    -- In progress internal signal
69
 
70
  signal BackendEnable_i : std_logic;   -- Backend Enable
71
begin  -- Txchannel_str
72
 
73
  AbortedTrans <= abortedTrans_i;
74
 
75
  FlagMachine : flag_ins_ent
76
    port map (
77
      TXclk      => TXclk,
78
      rst_n      => rst_n,
79
      TX         => TX,
80
      TXEN       => TXEN,
81
      TXD        => TXD_i,
82
      AbortFrame => AbortTrans_i,
83
      Frame      => Frame_i);
84
 
85
  BackendMachine : ZeroIns_ent
86
    port map (
87
      TxClk         => TxClk,
88
      rst_n         => rst_n,
89 15 khatib
      enable        => TXEN,
90 8 khatib
      BackendEnable => BackendEnable_i,
91
      abortedTrans  => abortedTrans_i,
92
      inProgress    => inProgress_i,
93
      ValidFrame    => ValidFrame,
94
      Writebyte     => Writebyte,
95
      rdy           => rdy,
96
      TXD           => TXD_i,
97
      Data          => TxData);
98
 
99
  Txcontroller : TxCont_ent
100
    port map (
101
      TXclk         => TXclk,
102
      rst_n         => rst_n,
103
      TXEN          => TXEN,
104
      enable        => enable_i,
105
      BackendEnable => BackendEnable_i,
106
      abortedTrans  => abortedTrans_i,
107
      inProgress    => inProgress_i,
108
      Frame         => Frame_i,
109
      ValidFrame    => ValidFrame,
110
      AbortFrame    => AbortFrame,
111
      AbortTrans    => AbortTrans_i);
112
 
113
end Txchannel_str;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.