OpenCores
URL https://opencores.org/ocsvn/hf-risc/hf-risc/trunk

Subversion Repositories hf-risc

[/] [hf-risc/] [trunk/] [hf-risc/] [peripherals/] [xtea/] [xtea_tb.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 serginhofr
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.std_logic_textio.all;
4
use ieee.std_logic_unsigned.all;
5
 
6
entity tb is
7
end tb;
8
 
9
architecture tb of tb is
10
        signal clock, reset, start, encrypt, ready: std_logic := '0';
11
        signal key: std_logic_vector(127 downto 0);
12
        signal input, output: std_logic_vector(63 downto 0);
13
begin
14
 
15
        reset <= '0', '1' after 5 ns, '0' after 500 ns;
16
 
17
        process                                         --25Mhz system clock
18
        begin
19
                clock <= not clock;
20
                wait for 20 ns;
21
                clock <= not clock;
22
                wait for 20 ns;
23
        end process;
24
 
25
        start <= '0', '1' after 1000 ns;
26
        encrypt <= '1';
27
        key <= x"f0e1d2c3b4a5968778695a4b3c2d1e0f";
28
        input <= x"1234567890123456";
29
 
30
        -- XTEA core
31
        core: entity work.xtea
32
        port map(       clock => clock,
33
                        reset => reset,
34
                        start => start,
35
                        encrypt => encrypt,
36
                        key => key,
37
                        input => input,
38
                        output => output,
39
                        ready => ready
40
        );
41
 
42
end tb;
43
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.