OpenCores
URL https://opencores.org/ocsvn/hf-risc/hf-risc/trunk

Subversion Repositories hf-risc

[/] [hf-risc/] [trunk/] [hf-riscv/] [platform/] [spartan3e_nexys2/] [spartan3e_nexys2.ucf] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 serginhofr
NET "clk_in" LOC = "B8";
2
NET "reset_in" LOC = "H13";
3
 
4
NET "int_in" LOC = "B18";
5
 
6
NET "uart_write" LOC = "P9";
7
NET "uart_read" LOC = "U6";
8
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.