URL
https://opencores.org/ocsvn/hicovec/hicovec/trunk
[/] [hicovec/] [trunk/] [cpu/] [config.vhd] - Blame information for rev 5
Go to most recent revision |
Details |
Compare with Previous |
View Log
Line No. |
Rev |
Author |
Line |
1 |
2 |
hmanske |
------------------------------------------------------------------
|
2 |
4 |
hmanske |
-- PROJECT: HiCoVec (highly configurable vector processor)
|
3 |
2 |
hmanske |
--
|
4 |
|
|
-- ENTITY: cfg
|
5 |
|
|
--
|
6 |
|
|
-- PURPOSE: base configuration file
|
7 |
|
|
--
|
8 |
|
|
-- AUTHOR: harald manske, haraldmanske@gmx.de
|
9 |
|
|
--
|
10 |
|
|
-- VERSION: 1.0
|
11 |
|
|
------------------------------------------------------------------
|
12 |
|
|
library ieee;
|
13 |
|
|
use ieee.std_logic_1164.all;
|
14 |
|
|
use ieee.numeric_std.all;
|
15 |
|
|
|
16 |
|
|
package cfg is
|
17 |
|
|
-- configuration
|
18 |
|
|
constant n: integer := 10; -- amount of vector registers
|
19 |
|
|
constant k: integer := 20; -- amount words per vector register (even numbers)
|
20 |
|
|
|
21 |
|
|
constant use_debugger: boolean := true; -- include debugging unit
|
22 |
|
|
|
23 |
|
|
constant use_scalar_mult : boolean := true; -- allow multiplications in scalar alu
|
24 |
|
|
constant use_vector_mult : boolean := false; -- allow multiplications in vector alu
|
25 |
|
|
|
26 |
|
|
constant use_shuffle : boolean := false; -- use shuffle unit
|
27 |
|
|
constant max_shuffle_width : integer := 0; -- max. shuffle width (dividable by 4)
|
28 |
|
|
|
29 |
|
|
constant use_vectorshift : boolean := true; -- allow shift of vector registers (vmol, vmor)
|
30 |
|
|
constant vectorshift_width : integer := 32; -- width of vectorshift in bit
|
31 |
|
|
|
32 |
|
|
constant sram_size : integer := 4096; -- sram size (memory size: 32 bit * sram_size)
|
33 |
|
|
end cfg;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.