OpenCores
URL https://opencores.org/ocsvn/hicovec/hicovec/trunk

Subversion Repositories hicovec

[/] [hicovec/] [trunk/] [cpu/] [datatypes.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 hmanske
------------------------------------------------------------------
2
-- PROJECT:     clvp (configurable lightweight vector processor)
3
--
4
-- ENTITY:      datatypes
5
--
6
-- PURPOSE:     definition of basic datatype
7
--
8
-- AUTHOR:      harald manske, haraldmanske@gmx.de
9
--
10
-- VERSION:     1.0
11
------------------------------------------------------------------
12
 
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use ieee.numeric_std.all;
16
 
17
use work.cfg.all;
18
 
19
package datatypes is
20
    type vectordata_type is array (k-1 downto 0) of std_logic_vector(31 downto 0);
21
end datatypes;
22
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.