OpenCores
URL https://opencores.org/ocsvn/hpc-16/hpc-16/trunk

Subversion Repositories hpc-16

[/] [hpc-16/] [trunk/] [impl0/] [sim_junk/] [transcript] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 umairsiddi
# Reading C:/Modeltech_xe_starter/tcl/vsim/pref.tcl
2
#  OpenFile "D:/MyOpenCoresProjects/hpc-16/impl0/sim_junk/hpc.mpf"
3
# Loading project hpc
4
# Compile of arith.vhd was successful.
5
# Compile of log.vhd was successful.
6
# Compile of alu.vhd was successful.
7
# Compile of shifter.vhd was successful.
8
# Compile of fcmp.vhd was successful.
9
# Compile of flags.vhd was successful.
10
# Compile of regfile.vhd was successful.
11
# Compile of dp_pkg.vhd was successful.
12
# Compile of dp.vhd was successful.
13
# Compile of sync.vhd was successful.
14
# Compile of con_pkg.vhd was successful.
15
# Compile of con1.vhd was successful.
16
# Compile of cpu_pkg.vhd was successful.
17
# Compile of cpu.vhd was successful.
18
# Compile of test.vhd was successful.
19
# Compile of ram8x16.vhd was successful.
20
# Compile of ramNx16.vhd was successful.
21
# 17 compiles, 0 failed with no errors.
22
vsim -t 10ps work.test
23
# vsim -t 10ps work.test
24
# Loading C:/Modeltech_xe_starter/win32xoem/../std.standard
25
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.std_logic_1164(body)
26
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.std_logic_arith(body)
27
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.std_logic_unsigned(body)
28
# Loading C:/Modeltech_xe_starter/win32xoem/../std.textio(body)
29
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.std_logic_textio(body)
30
# Loading work.cpu_pkg
31
# Loading work.test(sim)
32
# Loading work.ramnx16(async)
33
# Loading work.con_pkg
34
# Loading work.dp_pkg
35
# Loading work.cpu(struct)
36
# Loading work.con1(rtl)
37
# Loading work.sync(behave2)
38
# Loading work.dp(rtl)
39
# Loading work.regfile(behavioral)
40
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.numeric_std(body)
41
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.vital_timing(body)
42
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.vcomponents
43
# Loading work.alu(struct)
44
# Loading work.arith(behavioral)
45
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.vital_primitives(body)
46
# Loading work.adsu16_mxilinx_arith(behavioral)
47
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.fmap(fmap_v)
48
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.xor3(xor3_v)
49
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.muxcy_l(muxcy_l_v)
50
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.muxcy(muxcy_v)
51
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.xorcy(xorcy_v)
52
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.muxcy_d(muxcy_d_v)
53
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.xor2(xor2_v)
54
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.inv(inv_v)
55
# Loading work.m2_1_mxilinx_arith(behavioral)
56
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.and2b1(and2b1_v)
57
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.or2(or2_v)
58
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.and2(and2_v)
59
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.gnd(gnd_v)
60
# Loading work.log(dataflow)
61
# Loading work.shifter(dataflow)
62
# Loading work.flags(behavioral)
63
# Loading work.fcmp(behavioral)
64
# Loading work.ram8x16(sim)
65
# WARNING: Design size of 1881 statements or 10 non-Xilinx leaf instances exceeds ModelSim XE-Starter recommended capacity.
66
# Expect performance to be quite adversely affected.
67
view wave
68
# .wave
69
do D:/MyOpenCoresProjects/hpc-16/impl0/sim_junk/complete_wave_no_ramcs.do
70
# ERROR: No objects found matching "/test/ram/line__57/ram_data_upper"
71
# Executing ONERROR command at macro D:\MyOpenCoresProjects\hpc-16\impl0\sim_junk\complete_wave_no_ramcs.do line 39
72
# ERROR: No objects found matching "/test/ram/line__57/ram_data_lower"
73
# Executing ONERROR command at macro D:\MyOpenCoresProjects\hpc-16\impl0\sim_junk\complete_wave_no_ramcs.do line 40
74
view variables
75
# .variables
76
view process
77
# .process
78
destroy .variables
79
destroy .process
80
do D:/MyOpenCoresProjects/hpc-16/impl0/sim_junk/complete_wave_no_ramcs.do
81
run -all
82
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
83
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
84
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
85
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
86
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
87
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
88
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
89
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
90
# ** Error: (vsim-7) Failed to open VHDL file "add2_init_ram.txt" in rb mode.
91
# No such file or directory. (errno = ENOENT)
92
#    Time: 0 ps  Iteration: 0  Instance: /test/ram
93
# ** Fatal: (vsim-7) Failed to open VHDL file "add2_init_ram.txt" in rb mode.
94
# No such file or directory. (errno = ENOENT)
95
#    Time: 0 ps  Iteration: 0  Process: /test/ram/init File: D:/MyOpenCoresProjects/hpc-16/impl0/sim/testbench/ramNx16.vhd
96
# Fatal error at D:/MyOpenCoresProjects/hpc-16/impl0/sim/testbench/ramNx16.vhd line 101
97
#
98
run -all
99
# Cannot continue because of fatal error.
100
restart
101
# WARNING: Design size of 1881 statements or 10 non-Xilinx leaf instances exceeds ModelSim XE-Starter recommended capacity.
102
# Expect performance to be quite adversely affected.
103
run -all
104
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
105
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
106
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
107
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
108
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
109
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
110
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
111
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
112
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
113
#    Time: 0 ps  Iteration: 1  Instance: /test/cpu/datapath
114
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
115
#    Time: 0 ps  Iteration: 1  Instance: /test/cpu/datapath
116
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
117
#    Time: 40 ns  Iteration: 1  Instance: /test/cpu/datapath
118
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
119
#    Time: 40 ns  Iteration: 1  Instance: /test/cpu/datapath
120
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
121
#    Time: 80 ns  Iteration: 1  Instance: /test/cpu/datapath
122
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
123
#    Time: 80 ns  Iteration: 1  Instance: /test/cpu/datapath
124
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
125
#    Time: 120 ns  Iteration: 1  Instance: /test/cpu/datapath
126
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
127
#    Time: 120 ns  Iteration: 1  Instance: /test/cpu/datapath
128
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
129
#    Time: 160 ns  Iteration: 1  Instance: /test/cpu/datapath
130
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
131
#    Time: 160 ns  Iteration: 1  Instance: /test/cpu/datapath
132
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
133
#    Time: 200 ns  Iteration: 1  Instance: /test/cpu/datapath
134
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
135
#    Time: 200 ns  Iteration: 1  Instance: /test/cpu/datapath
136
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
137
#    Time: 240 ns  Iteration: 1  Instance: /test/cpu/datapath
138
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
139
#    Time: 240 ns  Iteration: 1  Instance: /test/cpu/datapath
140
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
141
#    Time: 280 ns  Iteration: 1  Instance: /test/cpu/datapath
142
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
143
#    Time: 280 ns  Iteration: 1  Instance: /test/cpu/datapath
144
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
145
#    Time: 320 ns  Iteration: 1  Instance: /test/cpu/datapath
146
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
147
#    Time: 320 ns  Iteration: 1  Instance: /test/cpu/datapath
148
# ** Error: simulation completed (not an error)
149
#    Time: 3 us  Iteration: 0  Instance: /test
150
restart
151
# WARNING: Design size of 1881 statements or 10 non-Xilinx leaf instances exceeds ModelSim XE-Starter recommended capacity.
152
# Expect performance to be quite adversely affected.
153
run -all
154
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
155
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
156
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
157
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
158
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
159
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
160
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
161
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
162
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
163
#    Time: 0 ps  Iteration: 1  Instance: /test/cpu/datapath
164
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
165
#    Time: 0 ps  Iteration: 1  Instance: /test/cpu/datapath
166
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
167
#    Time: 40 ns  Iteration: 1  Instance: /test/cpu/datapath
168
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
169
#    Time: 40 ns  Iteration: 1  Instance: /test/cpu/datapath
170
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
171
#    Time: 80 ns  Iteration: 1  Instance: /test/cpu/datapath
172
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
173
#    Time: 80 ns  Iteration: 1  Instance: /test/cpu/datapath
174
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
175
#    Time: 120 ns  Iteration: 1  Instance: /test/cpu/datapath
176
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
177
#    Time: 120 ns  Iteration: 1  Instance: /test/cpu/datapath
178
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
179
#    Time: 160 ns  Iteration: 1  Instance: /test/cpu/datapath
180
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
181
#    Time: 160 ns  Iteration: 1  Instance: /test/cpu/datapath
182
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
183
#    Time: 200 ns  Iteration: 1  Instance: /test/cpu/datapath
184
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
185
#    Time: 200 ns  Iteration: 1  Instance: /test/cpu/datapath
186
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
187
#    Time: 240 ns  Iteration: 1  Instance: /test/cpu/datapath
188
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
189
#    Time: 240 ns  Iteration: 1  Instance: /test/cpu/datapath
190
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
191
#    Time: 280 ns  Iteration: 1  Instance: /test/cpu/datapath
192
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
193
#    Time: 280 ns  Iteration: 1  Instance: /test/cpu/datapath
194
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
195
#    Time: 320 ns  Iteration: 1  Instance: /test/cpu/datapath
196
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
197
#    Time: 320 ns  Iteration: 1  Instance: /test/cpu/datapath
198
# ** Error: simulation completed (not an error)
199
#    Time: 3 us  Iteration: 0  Instance: /test
200
destroy .wave
201
quit -sim

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.