OpenCores
URL https://opencores.org/ocsvn/hpdmc/hpdmc/trunk

Subversion Repositories hpdmc

[/] [hpdmc/] [trunk/] [hpdmc_ddr32/] [test/] [tb_model.v] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 lekernel
/****************************************************************************************
2
*
3
*    File Name:  tb.v
4
*      Version:  5.7
5
*        Model:  BUS Functional
6
*
7
* Dependencies:  ddr.v, ddr_parameters.v
8
*
9
*  Description:  Micron SDRAM DDR (Double Data Rate) test bench
10
*
11
*         Note:  - Set simulator resolution to "ps" accuracy
12
*                - Set Debug = 0 to disable $display messages
13
*
14
*   Disclaimer   This software code and all associated documentation, comments or other
15
*  of Warranty:  information (collectively "Software") is provided "AS IS" without
16
*                warranty of any kind. MICRON TECHNOLOGY, INC. ("MTI") EXPRESSLY
17
*                DISCLAIMS ALL WARRANTIES EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED
18
*                TO, NONINFRINGEMENT OF THIRD PARTY RIGHTS, AND ANY IMPLIED WARRANTIES
19
*                OF MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. MTI DOES NOT
20
*                WARRANT THAT THE SOFTWARE WILL MEET YOUR REQUIREMENTS, OR THAT THE
21
*                OPERATION OF THE SOFTWARE WILL BE UNINTERRUPTED OR ERROR-FREE.
22
*                FURTHERMORE, MTI DOES NOT MAKE ANY REPRESENTATIONS REGARDING THE USE OR
23
*                THE RESULTS OF THE USE OF THE SOFTWARE IN TERMS OF ITS CORRECTNESS,
24
*                ACCURACY, RELIABILITY, OR OTHERWISE. THE ENTIRE RISK ARISING OUT OF USE
25
*                OR PERFORMANCE OF THE SOFTWARE REMAINS WITH YOU. IN NO EVENT SHALL MTI,
26
*                ITS AFFILIATED COMPANIES OR THEIR SUPPLIERS BE LIABLE FOR ANY DIRECT,
27
*                INDIRECT, CONSEQUENTIAL, INCIDENTAL, OR SPECIAL DAMAGES (INCLUDING,
28
*                WITHOUT LIMITATION, DAMAGES FOR LOSS OF PROFITS, BUSINESS INTERRUPTION,
29
*                OR LOSS OF INFORMATION) ARISING OUT OF YOUR USE OF OR INABILITY TO USE
30
*                THE SOFTWARE, EVEN IF MTI HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH
31
*                DAMAGES. Because some jurisdictions prohibit the exclusion or
32
*                limitation of liability for consequential or incidental damages, the
33
*                above limitation may not apply to you.
34
*
35
*                Copyright 2003 Micron Technology, Inc. All rights reserved.
36
*
37
* Rev  Author Date        Changes
38
* --------------------------------------------------------------------------------
39
* 2.1  SPH    03/19/2002  - Second Release
40
*                         - Fix tWR and several incompatability
41
*                           between different simulators
42
* 3.0  TFK    02/18/2003  - Added tDSS and tDSH timing checks.
43
*                         - Added tDQSH and tDQSL timing checks.
44
* 3.1  CAH    05/28/2003  - update all models to release version 3.1
45
*                           (no changes to this model)
46
* 3.2  JMK    06/16/2003  - updated all DDR400 models to support CAS Latency 3
47
* 3.3  JMK    09/11/2003  - Added initialization sequence checks.
48
* 4.0  JMK    12/01/2003  - Grouped parameters into "ddr_parameters.v"
49
*                         - Fixed tWTR check
50
* 4.1  JMK    01/14/2001  - Grouped specify parameters by speed grade
51
*                         - Fixed mem_sizes parameter
52
* 4.2  JMK    03/19/2004  - Fixed pulse width checking on Dqs
53
* 4.3  JMK    04/27/2004  - Changed BL wire size in tb module
54
*                         - Changed Dq_buf size to [15:0]
55
* 5.0  JMK    06/16/2004  - Added read to write checking.
56
*                         - Added read with precharge truncation to write checking.
57
*                         - Added associative memory array to reduce memory consumption.
58
*                         - Added checking for required DQS edges during write.
59
* 5.1  JMK    08/16/2004  - Fixed checking for required DQS edges during write.
60
*                         - Fixed wdqs_valid window.
61
* 5.2  JMK    09/24/2004  - Read or Write without activate will be ignored.
62
* 5.3  JMK    10/27/2004  - Added tMRD checking during Auto Refresh and Activate.
63
*                         - Added tRFC checking during Load Mode and Precharge.
64
* 5.4  JMK    12/13/2004  - The model will not respond to illegal command sequences.
65
* 5.5  SPH    01/13/2005  - The model will issue a halt on illegal command sequences.
66
*      JMK    02/11/2005  - Changed the display format for numbers to hex.
67
* 5.6  JMK    04/22/2005  - Fixed Write with auto precharge calculation.
68
* 5.7  JMK    08/05/2005  - Changed conditions for read with precharge truncation error.
69
*                         - Renamed parameters file with .vh extension.
70
* 5.8  BAS    12/26/2006  - Added parameters for T46A part - 256Mb
71
*                         - Added x32 functionality
72
* 6.0  BAS    05/31/2007  - Added read_verify command
73
****************************************************************************************/
74
 
75
`timescale 1ns / 1ps
76
 
77
module tb;
78
 
79
`include "ddr_parameters.vh"
80
 
81
    reg                         clk         ;
82
    reg                         clk_n       ;
83
    reg                         cke         ;
84
    reg                         cs_n        ;
85
    reg                         ras_n       ;
86
    reg                         cas_n       ;
87
    reg                         we_n        ;
88
    reg       [BA_BITS - 1 : 0] ba          ;
89
    reg     [ADDR_BITS - 1 : 0] a           ;
90
    reg                         dq_en       ;
91
    reg       [DM_BITS - 1 : 0] dm_out      ;
92
    reg       [DQ_BITS - 1 : 0] dq_out      ;
93
    reg         [DM_BITS-1 : 0] dm_fifo [0 : 13];
94
    reg         [DQ_BITS-1 : 0] dq_fifo [0 : 13];
95
    reg         [DQ_BITS-1 : 0] dq_in_pos   ;
96
    reg         [DQ_BITS-1 : 0] dq_in_neg   ;
97
    reg                         dqs_en      ;
98
    reg      [DQS_BITS - 1 : 0] dqs_out     ;
99
 
100
    reg                [12 : 0] mode_reg    ;                   //Mode Register
101
    reg                [12 : 0] ext_mode_reg;                   //Extended Mode Register
102
 
103
    wire                        BO       = mode_reg[3];         //Burst Order
104
    wire                [7 : 0] BL       = (1<<mode_reg[2:0]);  //Burst Length
105
// XXX modification by lekernel - removed CL2.5 support which crashes free simulators
106
// can be rewritten to make it work, but as CL2.5 is not used by Milkymist I'm lazy :)
107
// was   wire                [2 : 0] CL       = (mode_reg[6:4] == 3'b110) ? 2.5 : mode_reg[6:4]; //CAS Latency
108
    wire                [2 : 0] CL       = mode_reg[6:4]; //CAS Latency
109
    wire                        dqs_n_en = ~ext_mode_reg[10];   //dqs# Enable
110
    wire                [2 : 0] AL       = ext_mode_reg[5:3];   //Additive Latency
111
    wire                [3 : 0] RL       = CL               ;   //Read Latency
112
    wire                [3 : 0] WL       = 1                ;   //Write Latency
113
 
114
    wire      [DM_BITS - 1 : 0] dm       = dq_en ? dm_out : {DM_BITS{1'bz}};
115
    wire      [DQ_BITS - 1 : 0] dq       = dq_en ? dq_out : {DQ_BITS{1'bz}};
116
    wire     [DQS_BITS - 1 : 0] dqs      = dqs_en ? dqs_out : {DQS_BITS{1'bz}};
117
    wire     [DQS_BITS - 1 : 0] dqs_n    = (dqs_en & dqs_n_en) ? ~dqs_out : {DQS_BITS{1'bz}};
118
    wire     [DQS_BITS - 1 : 0] rdqs_n   = {DM_BITS{1'bz}};
119
 
120
    wire               [15 : 0] dqs_in   = dqs;
121
    wire               [63 : 0] dq_in    = dq;
122
 
123
    ddr sdramddr (
124
        clk     ,
125
        clk_n   ,
126
        cke     ,
127
        cs_n    ,
128
        ras_n   ,
129
        cas_n   ,
130
        we_n    ,
131
        ba      ,
132
        a       ,
133
        dm      ,
134
        dq      ,
135
        dqs
136
    );
137
 
138
    // timing definition in tCK units
139
    real    tck   ;
140
    integer tmrd  ;
141
    integer trap  ;
142
    integer tras  ;
143
        integer trc   ;
144
    integer trfc  ;
145
    integer trcd  ;
146
    integer trp   ;
147
        integer trrd  ;
148
        integer twr   ;
149
 
150
    initial begin
151
`ifdef period
152
        tck = `period ;
153
`else
154
        tck =  tCK;
155
`endif
156
        tmrd   = ciel(tMRD/tck);
157
        trap   = ciel(tRAP/tck);
158
        tras   = ciel(tRAS/tck);
159
        trc    = ciel(tRC/tck);
160
        trfc   = ciel(tRFC/tck);
161
        trcd   = ciel(tRCD/tck);
162
        trp    = ciel(tRP/tck);
163
            trrd   = ciel(tRRD/tck);
164
            twr    = ciel(tWR/tck);
165
    end
166
 
167
    initial clk <= 1'b1;
168
    initial clk_n <= 1'b0;
169
    always @(posedge clk) begin
170
      clk   <= #(tck/2) 1'b0;
171
      clk_n <= #(tck/2) 1'b1;
172
      clk   <= #(tck) 1'b1;
173
      clk_n <= #(tck) 1'b0;
174
    end
175
 
176
    function integer ciel;
177
        input number;
178
        real number;
179
        if (number > $rtoi(number))
180
            ciel = $rtoi(number) + 1;
181
        else
182
            ciel = number;
183
    endfunction
184
 
185
    task power_up;
186
        begin
187
            cke    <=  1'b0;
188
            repeat(10) @(negedge clk);
189
            $display ("%m at time %t TB:  A 200 us delay is required before CKE can be brought high.", $time);
190
            @ (negedge clk) cke     =  1'b1;
191
            nop (400/tck+1);
192
        end
193
    endtask
194
 
195
    task load_mode;
196
        input [BA_BITS - 1 : 0] bank;
197
        input [ADDR_BITS - 1 : 0] addr;
198
        begin
199
            case (bank)
200
                0:     mode_reg = addr;
201
                1: ext_mode_reg = addr;
202
            endcase
203
            cke     = 1'b1;
204
            cs_n    = 1'b0;
205
            ras_n   = 1'b0;
206
            cas_n   = 1'b0;
207
            we_n    = 1'b0;
208
            ba      = bank;
209
            a       = addr;
210
            @(negedge clk);
211
        end
212
    endtask
213
 
214
    task refresh;
215
        begin
216
            cke     =  1'b1;
217
            cs_n    =  1'b0;
218
            ras_n   =  1'b0;
219
            cas_n   =  1'b0;
220
            we_n    =  1'b1;
221
            @(negedge clk);
222
        end
223
    endtask
224
 
225
    task burst_term;
226
        integer i;
227
        begin
228
            cke     = 1'b1;
229
            cs_n    = 1'b0;
230
            ras_n   = 1'b1;
231
            cas_n   = 1'b1;
232
            we_n    = 1'b0;
233
            @(negedge clk);
234
            for (i=0; i<BL; i=i+1) begin
235
                dm_fifo[2*RL + i] = {DM_BITS{1'bz}} ;
236
                dq_fifo[2*RL + i] = {DQ_BITS{1'bz}} ;
237
            end
238
        end
239
    endtask
240
 
241
    task self_refresh;
242
        input count;
243
        integer count;
244
        begin
245
            cke     =  1'b0;
246
            cs_n    =  1'b0;
247
            ras_n   =  1'b0;
248
            cas_n   =  1'b0;
249
            we_n    =  1'b1;
250
            repeat(count) @(negedge clk);
251
        end
252
    endtask
253
 
254
    task precharge;
255
        input       [BA_BITS - 1 : 0] bank;
256
        input       ap; //precharge all
257
        begin
258
            cke     = 1'b1;
259
            cs_n    = 1'b0;
260
            ras_n   = 1'b0;
261
            cas_n   = 1'b1;
262
            we_n    = 1'b0;
263
            ba      = bank;
264
            a       = (ap<<10);
265
            @(negedge clk);
266
        end
267
    endtask
268
 
269
    task activate;
270
        input [BA_BITS - 1 : 0] bank;
271
        input [ADDR_BITS - 1 : 0] row;
272
        begin
273
            cke     = 1'b1;
274
            cs_n    = 1'b0;
275
            ras_n   = 1'b0;
276
            cas_n   = 1'b1;
277
            we_n    = 1'b1;
278
            ba      =   bank;
279
            a    =  row;
280
            @(negedge clk);
281
        end
282
    endtask
283
 
284
    //write task supports burst lengths <= 16
285
    task write;
286
        input   [BA_BITS - 1 : 0] bank;
287
        input   [COL_BITS - 1 : 0] col;
288
        input                      ap; //Auto Precharge
289
        input [16*DM_BITS - 1 : 0] dm;
290
        input [16*DQ_BITS - 1 : 0] dq;
291
        reg    [ADDR_BITS - 1 : 0] atemp [1:0];
292
        reg      [DQ_BITS/DM_BITS - 1 : 0] dm_temp;
293
        integer i,j;
294
        begin
295
               cke     = 1'b1;
296
               cs_n    = 1'b0;
297
               ras_n   = 1'b1;
298
               cas_n   = 1'b0;
299
               we_n    = 1'b0;
300
               ba      =   bank;
301
               atemp[0] = col & 10'h3ff;   //ADDR[ 9: 0] = COL[ 9: 0]
302
               atemp[1] = (col>>10)<<11;   //ADDR[ N:11] = COL[ N:10]
303
               a = atemp[0] | atemp[1] | (ap<<10);
304
 
305
               for (i=0; i<=BL; i=i+1) begin
306
                        dqs_en <= #(WL*tck + i*tck/2) 1'b1;
307
                                if (i%2 === 0) begin
308
                                dqs_out <= #(WL*tck + i*tck/2) {DQS_BITS{1'b0}};
309
                                end else begin
310
                                         dqs_out <= #(WL*tck + i*tck/2) {DQS_BITS{1'b1}};
311
                   end
312
                      dq_en  <= #(WL*tck + i*tck/2 + tck/4) 1'b1;
313
                for (j=0; j<DM_BITS; j=j+1) begin
314
                    dm_temp = dm>>((i*DM_BITS + j)*DQ_BITS/DM_BITS);
315
                    dm_out[j] <= #(WL*tck + i*tck/2 + tck/4) &dm_temp;
316
                end
317
                dq_out <= #(WL*tck + i*tck/2 + tck/4) dq>>i*DQ_BITS;
318
                case (i)
319
                    15: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[16*DM_BITS-1 : 15*DM_BITS];
320
                    14: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[15*DM_BITS-1 : 14*DM_BITS];
321
                    13: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[14*DM_BITS-1 : 13*DM_BITS];
322
                    12: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[13*DM_BITS-1 : 12*DM_BITS];
323
                    11: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[12*DM_BITS-1 : 11*DM_BITS];
324
                    10: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[11*DM_BITS-1 : 10*DM_BITS];
325
                     9: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[10*DM_BITS-1 :  9*DM_BITS];
326
                     8: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 9*DM_BITS-1 :  8*DM_BITS];
327
                     7: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 8*DM_BITS-1 :  7*DM_BITS];
328
                     6: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 7*DM_BITS-1 :  6*DM_BITS];
329
                     5: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 6*DM_BITS-1 :  5*DM_BITS];
330
                     4: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 5*DM_BITS-1 :  4*DM_BITS];
331
                     3: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 4*DM_BITS-1 :  3*DM_BITS];
332
                     2: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 3*DM_BITS-1 :  2*DM_BITS];
333
                     1: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 2*DM_BITS-1 :  1*DM_BITS];
334
                     0: dm_out <= #(WL*tck + i*tck/2 + tck/4) dm[ 1*DM_BITS-1 :  0*DM_BITS];
335
                endcase
336
                case (i)
337
                    15: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[16*DQ_BITS-1 : 15*DQ_BITS];
338
                    14: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[15*DQ_BITS-1 : 14*DQ_BITS];
339
                    13: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[14*DQ_BITS-1 : 13*DQ_BITS];
340
                    12: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[13*DQ_BITS-1 : 12*DQ_BITS];
341
                    11: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[12*DQ_BITS-1 : 11*DQ_BITS];
342
                    10: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[11*DQ_BITS-1 : 10*DQ_BITS];
343
                     9: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[10*DQ_BITS-1 :  9*DQ_BITS];
344
                     8: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 9*DQ_BITS-1 :  8*DQ_BITS];
345
                     7: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 8*DQ_BITS-1 :  7*DQ_BITS];
346
                     6: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 7*DQ_BITS-1 :  6*DQ_BITS];
347
                     5: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 6*DQ_BITS-1 :  5*DQ_BITS];
348
                     4: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 5*DQ_BITS-1 :  4*DQ_BITS];
349
                     3: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 4*DQ_BITS-1 :  3*DQ_BITS];
350
                     2: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 3*DQ_BITS-1 :  2*DQ_BITS];
351
                     1: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 2*DQ_BITS-1 :  1*DQ_BITS];
352
                     0: dq_out <= #(WL*tck + i*tck/2 + tck/4) dq[ 1*DQ_BITS-1 :  0*DQ_BITS];
353
                endcase
354
                dq_en  <= #(WL*tck + i*tck/2 + tck/4) 1'b1;
355
            end
356
            dqs_en <= #(WL*tck + BL*tck/2 + tck/2) 1'b0;
357
            dq_en  <= #(WL*tck + BL*tck/2 + tck/4) 1'b0;
358
            @(negedge clk);
359
        end
360
    endtask
361
 
362
    task read;
363
        input   [BA_BITS - 1 : 0]bank;
364
        input   [COL_BITS - 1 : 0] col;
365
        input                      ap; //Auto Precharge
366
        reg    [ADDR_BITS - 1 : 0] atemp [1:0];
367
        begin
368
            cke     = 1'b1;
369
            cs_n    = 1'b0;
370
            ras_n   = 1'b1;
371
            cas_n   = 1'b0;
372
            we_n    = 1'b1;
373
            ba      =   bank;
374
            atemp[0] = col & 10'h3ff;   //ADDR[ 9: 0] = COL[ 9: 0]
375
            atemp[1] = (col>>10)<<11;   //ADDR[ N:11] = COL[ N:10]
376
            a = atemp[0] | atemp[1] | (ap<<10);
377
            @(negedge clk);
378
        end
379
    endtask
380
 
381
    // read with data verification
382
    task read_verify;
383
        input   [BA_BITS - 1 : 0] bank;
384
        input   [COL_BITS - 1 : 0] col;
385
        input                      ap; //Auto Precharge
386
        input [16*DM_BITS - 1 : 0] dm; //Expected Data Mask
387
        input [16*DQ_BITS - 1 : 0] dq; //Expected Data
388
        integer i;
389
        reg                  [2:0] brst_col;
390
        begin
391
            read (bank, col, ap);
392
            for (i=0; i<BL; i=i+1) begin
393
                // perform burst ordering
394
                brst_col = col ^ i;
395
                if (!BO) begin
396
                    brst_col = col + i;
397
                end
398
                if (BL == 4) begin
399
                    brst_col[2] = 1'b0 ;
400
                end else if (BL == 2) begin
401
                    brst_col[2:1] = 2'b00 ;
402
                end
403
                dm_fifo[2*RL + i] = dm >> (i*DM_BITS);
404
                dq_fifo[2*RL + i] = dq >> (i*DQ_BITS);
405
            end
406
        end
407
    endtask
408
 
409
    task nop;
410
        input  count;
411
        integer count;
412
        begin
413
            cke     =  1'b1;
414
            cs_n    =  1'b0;
415
            ras_n   =  1'b1;
416
            cas_n   =  1'b1;
417
            we_n    =  1'b1;
418
            repeat(count) @(negedge clk);
419
        end
420
    endtask
421
 
422
    task deselect;
423
        input  count;
424
        integer count;
425
        begin
426
            cke     =  1'b1;
427
            cs_n    =  1'b1;
428
            ras_n   =  1'b1;
429
            cas_n   =  1'b1;
430
            we_n    =  1'b1;
431
            repeat(count) @(negedge clk);
432
        end
433
    endtask
434
 
435
    task power_down;
436
        input  count;
437
        integer count;
438
        begin
439
            cke     =  1'b0;
440
            cs_n    =  1'b1;
441
            ras_n   =  1'b1;
442
            cas_n   =  1'b1;
443
            we_n    =  1'b1;
444
            repeat(count) @(negedge clk);
445
        end
446
    endtask
447
 
448
    function [16*DQ_BITS - 1 : 0] sort_data;
449
        input [16*DQ_BITS - 1 : 0] dq;
450
        input [2:0] col;
451
        integer i;
452
        reg   [2:0] brst_col;
453
        reg   [DQ_BITS - 1 :0] burst;
454
        begin
455
            sort_data = 0;
456
            for (i=0; i<BL; i=i+1) begin
457
                // perform burst ordering
458
                brst_col = col ^ i;
459
                if (!BO) begin
460
                    brst_col[1:0] = col + i;
461
                end
462
                burst = dq >> (brst_col*DQ_BITS);
463
                sort_data = sort_data | burst<<(i*DQ_BITS);
464
            end
465
        end
466
    endfunction
467
 
468
    // receiver(s) for data_verify process
469
    always @(dqs_in[0]) begin #(tDQSQ); dqs_receiver(0); end
470
    always @(dqs_in[1]) begin #(tDQSQ); dqs_receiver(1); end
471
    always @(dqs_in[2]) begin #(tDQSQ); dqs_receiver(2); end
472
    always @(dqs_in[3]) begin #(tDQSQ); dqs_receiver(3); end
473
    always @(dqs_in[4]) begin #(tDQSQ); dqs_receiver(4); end
474
    always @(dqs_in[5]) begin #(tDQSQ); dqs_receiver(5); end
475
    always @(dqs_in[6]) begin #(tDQSQ); dqs_receiver(6); end
476
    always @(dqs_in[7]) begin #(tDQSQ); dqs_receiver(7); end
477
 
478
    task dqs_receiver;
479
    input i;
480
    integer i;
481
    begin
482
        if (dqs_in[i]) begin
483
            case (i)
484
                0: dq_in_pos[ 7: 0] <= dq_in[ 7: 0];
485
                1: dq_in_pos[15: 8] <= dq_in[15: 8];
486
/*                2: dq_in_pos[23:16] <= dq_in[23:16];
487
                3: dq_in_pos[31:24] <= dq_in[31:24];
488
                4: dq_in_pos[39:32] <= dq_in[39:32];
489
                5: dq_in_pos[47:40] <= dq_in[47:40];
490
                6: dq_in_pos[55:48] <= dq_in[55:48];
491
                7: dq_in_pos[63:56] <= dq_in[63:56];*/
492
            endcase
493
        end else if (!dqs_in[i]) begin
494
            case (i)
495
                0: dq_in_neg[ 7: 0] <= dq_in[ 7: 0];
496
                1: dq_in_neg[15: 8] <= dq_in[15: 8];
497
/*                2: dq_in_neg[23:16] <= dq_in[23:16];
498
                3: dq_in_neg[31:24] <= dq_in[31:24];
499
                4: dq_in_pos[39:32] <= dq_in[39:32];
500
                5: dq_in_pos[47:40] <= dq_in[47:40];
501
                6: dq_in_pos[55:48] <= dq_in[55:48];
502
                7: dq_in_pos[63:56] <= dq_in[63:56];*/
503
            endcase
504
        end
505
    end
506
    endtask
507
 
508
 
509
    // perform data verification as a result of read_verify task call
510
    always @(clk) begin : data_verify
511
        integer i;
512
        reg [DM_BITS-1 : 0] data_mask;
513
        reg [8*DM_BITS-1 : 0] bit_mask;
514
 
515
        for (i=0; i<=14; i=i+1) begin
516
            dm_fifo[i] = dm_fifo[i+1];
517
            dq_fifo[i] = dq_fifo[i+1];
518
        end
519
        dm_fifo[13] = 'bz;
520
        dq_fifo[13] = 'bz;
521
//        dm_fifo[30] = 0;
522
//        dq_fifo[30] = 0;
523
        data_mask = dm_fifo[0];
524
 
525
        data_mask = dm_fifo[0];
526
       for (i=0; i<DM_BITS; i=i+1) begin
527
            bit_mask = {bit_mask, {8{~data_mask[i]}}};
528
       end
529
        if (clk) begin
530
            if ((dq_in_neg & bit_mask) != (dq_fifo[0] & bit_mask))
531
                $display ("%m at time %t: ERROR: Read data miscompare: Expected = %h, Actual = %h, Mask = %h", $time, dq_fifo[0], dq_in_neg, bit_mask);
532
        end else begin
533
            if ((dq_in_pos & bit_mask) != (dq_fifo[0] & bit_mask))
534
                $display ("%m at time %t: ERROR: Read data miscompare: Expected = %h, Actual = %h, Mask = %h", $time, dq_fifo[0], dq_in_pos, bit_mask);
535
        end
536
    end
537
 
538
 
539
 
540
    reg test_done;
541
        initial test_done = 0;
542
 
543
    // End-of-test triggered in 'subtest.vh'
544
    always @(test_done) begin : all_done
545
                if (test_done == 1) begin
546
      #5000
547
                        $display ("Simulation is Complete");
548
                        $stop(0);
549
                        $finish;
550
                end
551
        end
552
 
553
        // Test included from external file
554
    `include "subtest.vh"
555
 
556
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.