OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [sim/] [i2c_verilog/] [run/] [ncverilog.log] - Blame information for rev 75

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 rherveille
ncverilog: v03.40.(b001): (c) Copyright 1995 - 2001 Cadence Design Systems, Inc.
2
ncverilog: v03.40.(b001): Started on Jun 15, 2002 at 13:36:36
3
ncverilog
4
        +access+rwc
5
        +linedebug
6
        +define+"WAVES"
7
        +incdir+../../../../bench/verilog
8
        +incdir+../../../../rtl/verilog
9
        +libext+.v
10
        -y
11
        /tools/synopsys/dw/sim_ver/
12
        ../../../../rtl/verilog/i2c_master_bit_ctrl.v
13
        ../../../../rtl/verilog/i2c_master_byte_ctrl.v
14
        ../../../../rtl/verilog/i2c_master_top.v
15
        ../../../../bench/verilog/i2c_slave_model.v
16
        ../../../../bench/verilog/wb_master_model.v
17
        ../../../../bench/verilog/tst_bench_top.v
18
 
19
ncverilog: *W,BADPRF: The +linedebug option may have an adverse performance impact.
20
file: ../../../../rtl/verilog/i2c_master_bit_ctrl.v
21
        module worklib.i2c_master_bit_ctrl:v (up-to-date)
22
                errors: 0, warnings: 0
23
file: ../../../../rtl/verilog/i2c_master_byte_ctrl.v
24
        module worklib.i2c_master_byte_ctrl:v (up-to-date)
25
                errors: 0, warnings: 0
26
file: ../../../../rtl/verilog/i2c_master_top.v
27
        module worklib.i2c_master_top:v (up-to-date)
28
                errors: 0, warnings: 0
29
file: ../../../../bench/verilog/i2c_slave_model.v
30
        module worklib.i2c_slave_model:v (up-to-date)
31
                errors: 0, warnings: 0
32
file: ../../../../bench/verilog/wb_master_model.v
33
        module worklib.wb_master_model:v (up-to-date)
34
                errors: 0, warnings: 0
35
file: ../../../../bench/verilog/tst_bench_top.v
36
        module worklib.tst_bench_top:v
37
                errors: 0, warnings: 0
38
ncvlog: *W,LIBNOU: Library "/tools/synopsys/dw/sim_ver/" given but not used.
39
        Total errors/warnings found outside modules and primitives:
40
                errors: 0, warnings: 1
41
                Caching library 'worklib' ....... Done
42
        Elaborating the design hierarchy:
43
        Building instance overlay tables: .................... Done
44
        Generating native compiled code:
45
                worklib.tst_bench_top:v <0x7fb52c98>
46
                        streams:  12, words: 59009
47
        Loading native compiled code:     .................... Done
48
        Building instance specific data structures.
49
        Design hierarchy summary:
50
                                  Instances  Unique
51
                Modules:                  6       6
52
                Primitives:               2       1
53
                Registers:               68      68
54
                Scalar wires:            48       -
55
                Expanded wires:          36       2
56
                Vectored wires:           6       -
57
                Always blocks:           23      23
58
                Initial blocks:           3       3
59
                Cont. assignments:       28      28
60
                Pseudo assignments:      11      14
61
                Simulation timescale:  10ps
62
        Writing initial simulation snapshot: worklib.tst_bench_top:v
63
Loading snapshot worklib.tst_bench_top:v .................... Done
64
ncsim> source /cds/tools/inca/files/ncsimrc
65
ncsim> run
66
INFO: Signal dump enabled ...
67
 
68
 
69
 
70
status:                    0 Testbench started
71
 
72
 
73
 
74
INFO: WISHBONE MASTER MODEL INSTANTIATED (tst_bench_top.u0)
75
 
76
status:                19500 done reset
77
status:                23600 programmed registers
78
status:                25600 verified registers
79
status:                27600 enabled core
80
status:                30600 generate 'start', write cmd a0 (slave address+write)
81
status:              2582600 tip==0
82
status:              2585600 write slave memory address 01
83
status:              4877600 tip==0
84
status:              4880600 write data a5
85
status:              7172600 tip==0
86
status:              7175600 write next data 5a, generate 'stop'
87
status:              9467600 tip==0
88
status:             19467600 wait 100us
89
status:             19470600 generate 'start', write cmd a0 (slave address+write)
90
status:             22014600 tip==0
91
status:             22017600 write slave address 01
92
status:             24309600 tip==0
93
status:             24312600 generate 'repeated start', write cmd a1 (slave address+read)
94
status:             26858600 tip==0
95
status:             26860600 read + ack
96
status:             29154600 tip==0
97
status:             29158600 read + ack
98
status:             31448600 tip==0
99
status:             31452600 read + ack
100
status:             33744600 tip==0
101
status:             33746600 received xx from 3rd read address
102
status:             33748600 read + nack
103
status:             36038600 tip==0
104
status:             36040600 received xx from 4th read address
105
status:             36043600 generate 'start', write cmd a0 (slave address+write). Check invalid address
106
status:             38589600 tip==0
107
status:             38592600 write slave memory address 10
108
status:             40884600 tip==0
109
status:             40884600 Check for nack
110
status:             40886600 generate 'stop'
111
status:             40888600 tip==0
112
 
113
 
114
status:             43388600 Testbench done
115
Simulation stopped via $stop(1) at time 433886 NS + 0
116
/mnt/pooh/projects/I2C/bench/verilog/tst_bench_top.v:427                        $stop;
117
ncsim> exit
118
ncverilog: v03.40.(b001): Exiting on Jun 15, 2002 at 13:47:48  (total: 00:11:12)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.