OpenCores
URL https://opencores.org/ocsvn/idea/idea/trunk

Subversion Repositories idea

[/] [idea/] [trunk/] [behavioral/] [key_regulator/] [mux16.vbe] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 marta
-- VHDL data flow description generated from `mux16`
2
--              date : Fri Jul 27 02:23:26 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY mux16 IS
8
  PORT (
9
  a : in bit_vector(15 DOWNTO 0) ;      -- a
10
  b : in bit_vector(15 DOWNTO 0) ;      -- b
11
  sel : in BIT; -- sel
12
  c : out bit_vector(15 DOWNTO 0) ;     -- c
13
  vdd : in BIT; -- vdd
14
  vss : in BIT  -- vss
15
  );
16
END mux16;
17
 
18
 
19
-- Architecture Declaration
20
 
21
ARCHITECTURE behaviour_data_flow OF mux16 IS
22
 
23
BEGIN
24
  ASSERT ((vdd and not (vss)) = '1')
25
    REPORT " power supply is missing on mux02x"
26
    SEVERITY WARNING;
27
 
28
 
29
c (0) <= ((not (sel) and a (0)) or (b (0) and sel));
30
 
31
c (1) <= ((not (sel) and a (1)) or (b (1) and sel));
32
 
33
c (2) <= ((not (sel) and a (2)) or (b (2) and sel));
34
 
35
c (3) <= ((not (sel) and a (3)) or (b (3) and sel));
36
 
37
c (4) <= ((not (sel) and a (4)) or (b (4) and sel));
38
 
39
c (5) <= ((not (sel) and a (5)) or (b (5) and sel));
40
 
41
c (6) <= ((not (sel) and a (6)) or (b (6) and sel));
42
 
43
c (7) <= ((not (sel) and a (7)) or (b (7) and sel));
44
 
45
c (8) <= ((not (sel) and a (8)) or (b (8) and sel));
46
 
47
c (9) <= ((not (sel) and a (9)) or (b (9) and sel));
48
 
49
c (10) <= ((not (sel) and a (10)) or (b (10) and sel));
50
 
51
c (11) <= ((not (sel) and a (11)) or (b (11) and sel));
52
 
53
c (12) <= ((not (sel) and a (12)) or (b (12) and sel));
54
 
55
c (13) <= ((not (sel) and a (13)) or (b (13) and sel));
56
 
57
c (14) <= ((not (sel) and a (14)) or (b (14) and sel));
58
 
59
c (15) <= ((not (sel) and a (15)) or (b (15) and sel));
60
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.