OpenCores
URL https://opencores.org/ocsvn/idea/idea/trunk

Subversion Repositories idea

[/] [idea/] [trunk/] [simulation/] [main control/] [mux02_10ns.pat] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 marta
-- File Name    : mux02.pat
2
-- Version      : v1.1
3
-- Description  : test pattern for 2 bit multiplexer 4 to 1
4
-- Purpose      : to verify the 2 bit multiplexer 4 to 1 block at frequency 50 Mhz
5
-- Author       : Sigit Dewantoro
6
-- Address      : IS Laboratory, Labtek VIII, ITB, Jl. Ganesha 10, Bandung, Indonesia
7
-- Email        : sigit@students.ee.itb.ac.id, sigit@ic.vlsi.itb.ac.id
8
-- Date         : August 16th, 2001
9
 
10
-- input / output list :
11
 
12
in vdd             B;;;
13
in vss             B;;;
14
in a               (1 downto 0)B;;;
15
in b               (1 downto 0)B;;;
16
in c               (1 downto 0)B;;;
17
in d               (1 downto 0)B;;;
18
in sel             (1 downto 0)B;;;
19
out o              (1 downto 0)B;;;
20
 
21
begin
22
 
23
-- Pattern description :
24
 
25
--                        v  v  a     b     c     d     s      o
26
--                        d  s                          e
27
--                        d  s                          l
28
--
29
 
30
-- Beware : unprocessed patterns
31
 
32
<0 ns>          pat     : 1  0  0  0  0  1  1  0  1  1  0  0  ?*  *;
33
<10 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  0  0  ?*  *;
34
<20 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  0  1  ?*  *;
35
<30 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  0  1  ?*  *;
36
<40 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  1  0  ?*  *;
37
<50 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  1  0  ?*  *;
38
<60 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  1  1  ?*  *;
39
<70 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  1  1  ?*  *;
40
<80 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  0  0  ?*  *;
41
<90 ns>         pat     : 1  0  0  0  0  1  1  0  1  1  0  0  ?*  *;
42
 
43
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.