OpenCores
URL https://opencores.org/ocsvn/igor/igor/trunk

Subversion Repositories igor

[/] [igor/] [trunk/] [avr/] [eth-test/] [global.h] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 atypic
#ifndef _GLOBAL_H_
2
#define _GLOBAL_H_
3
// Global definitions.
4
 
5
// Clockspeed   - 8Mhz
6
#define FOSC    8000000
7
#define F_CPU   8000000
8
#include <util/delay.h>
9
#endif /* !_GLOBAL_H_ */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.