OpenCores
URL https://opencores.org/ocsvn/igor/igor/trunk

Subversion Repositories igor

[/] [igor/] [trunk/] [processor/] [mc/] [fpu_adder.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atypic
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file fpu_adder.vhd when simulating
30
-- the core, fpu_adder. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY fpu_adder IS
44
        port (
45
        a: IN std_logic_VECTOR(25 downto 0);
46
        b: IN std_logic_VECTOR(25 downto 0);
47
        clk: IN std_logic;
48
        result: OUT std_logic_VECTOR(25 downto 0);
49
        underflow: OUT std_logic;
50
        overflow: OUT std_logic;
51
        invalid_op: OUT std_logic);
52
END fpu_adder;
53
 
54
ARCHITECTURE fpu_adder_a OF fpu_adder IS
55
-- synthesis translate_off
56
component wrapped_fpu_adder
57
        port (
58
        a: IN std_logic_VECTOR(25 downto 0);
59
        b: IN std_logic_VECTOR(25 downto 0);
60
        clk: IN std_logic;
61
        result: OUT std_logic_VECTOR(25 downto 0);
62
        underflow: OUT std_logic;
63
        overflow: OUT std_logic;
64
        invalid_op: OUT std_logic);
65
end component;
66
 
67
-- Configuration specification 
68
        for all : wrapped_fpu_adder use entity XilinxCoreLib.floating_point_v3_0(behavioral)
69
                generic map(
70
                        c_has_b_nd => 0,
71
                        c_speed => 2,
72
                        c_has_sclr => 0,
73
                        c_has_a_rfd => 0,
74
                        c_b_fraction_width => 20,
75
                        c_has_operation_nd => 0,
76
                        c_family => "spartan3",
77
                        c_has_exception => 0,
78
                        c_a_fraction_width => 20,
79
                        c_has_flt_to_fix => 0,
80
                        c_has_flt_to_flt => 0,
81
                        c_has_fix_to_flt => 0,
82
                        c_has_invalid_op => 1,
83
                        c_latency => 0,
84
                        c_has_divide_by_zero => 0,
85
                        c_has_overflow => 1,
86
                        c_mult_usage => 0,
87
                        c_has_rdy => 0,
88
                        c_result_fraction_width => 20,
89
                        c_has_divide => 0,
90
                        c_has_inexact => 0,
91
                        c_has_underflow => 1,
92
                        c_has_sqrt => 0,
93
                        c_has_add => 1,
94
                        c_has_status => 0,
95
                        c_has_a_negate => 0,
96
                        c_optimization => 1,
97
                        c_has_a_nd => 0,
98
                        c_has_aclr => 0,
99
                        c_has_b_negate => 0,
100
                        c_has_subtract => 0,
101
                        c_compare_operation => 8,
102
                        c_rate => 1,
103
                        c_has_compare => 0,
104
                        c_has_operation_rfd => 0,
105
                        c_has_b_rfd => 0,
106
                        c_result_width => 26,
107
                        c_b_width => 26,
108
                        c_status_early => 0,
109
                        c_a_width => 26,
110
                        c_has_cts => 0,
111
                        c_has_ce => 0,
112
                        c_has_multiply => 0);
113
-- synthesis translate_on
114
BEGIN
115
-- synthesis translate_off
116
U0 : wrapped_fpu_adder
117
                port map (
118
                        a => a,
119
                        b => b,
120
                        clk => clk,
121
                        result => result,
122
                        underflow => underflow,
123
                        overflow => overflow,
124
                        invalid_op => invalid_op);
125
-- synthesis translate_on
126
 
127
END fpu_adder_a;
128
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.