OpenCores
URL https://opencores.org/ocsvn/igor/igor/trunk

Subversion Repositories igor

[/] [igor/] [trunk/] [processor/] [mc/] [leval_tb.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atypic
library ieee;
2
 
3
use ieee.std_logic_1164.all;
4
use work.leval_package.all;
5
use work.avremu_package.all;
6
 
7
entity leval_tb is
8
end entity;
9
 
10
architecture rtl of leval_tb is
11
  -- Components:
12
  component leval is
13
        port (
14
           rst : in std_logic;
15
           clk : in std_logic;
16
           data_bus : inout std_logic_vector(BUS_SIZE-1 downto 0);
17
           addr_bus : out std_logic_vector(ADDR_SIZE-1 downto 0);
18
           wait_s : in std_logic;
19
           read  : out std_logic;
20
           write : out std_logic;
21
           sync : in std_logic;
22
           led       : out std_logic_vector(7 downto 0));
23
  end   component;
24
 
25
  component addr_decoder is
26
  port (
27
      clk : in std_logic;
28
      leval_addr        : in std_logic_vector(ADDR_SIZE - 1 downto 0);
29
      avr_irq           : out std_logic;
30
      mem_wait                          : out std_logic;
31
      mem_ce    : out std_logic;
32
      read_s : in std_logic;
33
      write_s : in std_logic
34
        );
35
  end component;
36
 
37
  -- Signals:
38
        signal addr_s : std_logic_vector(ADDR_SIZE - 1 downto 0);
39
        signal mem_wait_s : std_logic;
40
        signal wait_s : std_logic;
41
        signal write_s : std_logic;
42
        signal read_s : std_logic;
43
        signal rst : std_logic;
44
 
45
 
46
        signal flash_ce0        : std_logic;
47
        signal flash_ce1        : std_logic := '0';
48
        signal clk : std_logic;
49
        signal rst_low : std_logic;
50
        signal fpga_data : std_logic_vector(WORD_SIZE - 1 downto 0);
51
        signal fpga_addr : std_logic_vector(ADDR_SIZE - 1 downto 0);
52
        signal avr_irq :  std_logic;
53
        signal wait_f :  std_logic;
54
        signal read : std_logic;
55
        signal write :  std_logic;
56
        signal mem_ce : std_logic;
57
        signal sync_s : std_logic;
58
        signal led :  std_logic_vector(7 downto 0);
59
        signal err :  std_logic_vector(1 downto 0);
60
begin
61
 
62
  rst <= not rst_low;
63
  fpga_addr <= addr_s;
64
  --wait_s <= mem_wait_s and wait_f;
65
  read <= not read_s;
66
  write <= not write_s;
67
 
68
        LEVAL_CPU : leval
69
        port map(
70
                clk => clk,
71
                rst => rst,
72
                data_bus => fpga_data,
73
                addr_bus        => addr_s,
74
                wait_s  => wait_s,
75
                read            => read_s,
76
                write           => write_s,
77
                led => led,
78
                sync => sync_s
79
        );
80
 
81
        ADDR_DEC        : addr_decoder
82
        port map (
83
          clk => clk,
84
          leval_addr => addr_s,
85
                avr_irq => avr_irq,
86
                mem_wait => mem_wait_s,
87
                mem_ce => mem_ce,
88
                read_s => read_s,
89
                write_s => write_s
90
        );
91
 
92
 
93
   testproc : process
94
   begin
95
   sync_s <= '1';
96
--   pause_pc <= '0';
97
        rst_low <= '1';
98
 
99
    wait;
100
   end process;
101
 
102
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.