OpenCores
URL https://opencores.org/ocsvn/igor/igor/trunk

Subversion Repositories igor

[/] [igor/] [trunk/] [processor/] [pl/] [lol.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 atypic
entity mux is
2
        port (
3
                a : in std_logic_vector(5 downto 0);
4
                b : in std_logic_vector(5 downto 0);
5
                s : in std_logic;
6
                o : out std_logic_vector(5 downto 0);
7
            );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.