OpenCores
URL https://opencores.org/ocsvn/iicmb/iicmb/trunk

Subversion Repositories iicmb

[/] [iicmb/] [trunk/] [sim/] [modelsim.ini] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sshuv2
; Copyright 1991-2007 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = $MODEL_TECH/../modelsim.ini
11
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
12
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
13
 
14
;unisims_ver = $XILINX/../../ISE_lib/unisims_ver
15
;unimacro_ver = $XILINX/../../ISE_lib/unimacro_ver
16
;xilinxcorelib_ver = $XILINX/../../ISE_lib/XilinxCoreLib_ver
17
;simprims_ver = $XILINX/../../ISE_lib/simprims_ver
18
;secureip = $XILINX/../../ISE_lib/secureip
19
;unisim = $XILINX/../../ISE_lib/unisim
20
;unimacro = $XILINX/../../ISE_lib/unimacro
21
;xilinxcorelib = $XILINX/../../ISE_lib/XilinxCoreLib
22
;simprim = $XILINX/../../ISE_lib/simprim
23
 
24
iicmb = iicmb
25
work  = work
26
 
27
[vcom]
28
; VHDL93 variable selects language version as the default.
29
; Default is VHDL-2002.
30
; Value of 0 or 1987 for VHDL-1987.
31
; Value of 1 or 1993 for VHDL-1993.
32
; Default or value of 2 or 2002 for VHDL-2002.
33
VHDL93 = 2002
34
 
35
; Show source line containing error. Default is off.
36
; Show_source = 1
37
 
38
; Turn off unbound-component warnings. Default is on.
39
; Show_Warning1 = 0
40
 
41
; Turn off process-without-a-wait-statement warnings. Default is on.
42
; Show_Warning2 = 0
43
 
44
; Turn off null-range warnings. Default is on.
45
; Show_Warning3 = 0
46
 
47
; Turn off no-space-in-time-literal warnings. Default is on.
48
; Show_Warning4 = 0
49
 
50
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
51
; Show_Warning5 = 0
52
 
53
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
54
; Optimize_1164 = 0
55
 
56
; Turn on resolving of ambiguous function overloading in favor of the
57
; "explicit" function declaration (not the one automatically created by
58
; the compiler for each type declaration). Default is off.
59
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
60
; will match the behavior of synthesis tools.
61
Explicit = 1
62
 
63
; Turn off acceleration of the VITAL packages. Default is to accelerate.
64
; NoVital = 1
65
 
66
; Turn off VITAL compliance checking. Default is checking on.
67
; NoVitalCheck = 1
68
 
69
; Ignore VITAL compliance checking errors. Default is to not ignore.
70
; IgnoreVitalErrors = 1
71
 
72
; Turn off VITAL compliance checking warnings. Default is to show warnings.
73
; Show_VitalChecksWarnings = 0
74
 
75
; Turn off PSL assertion warning messages. Default is to show warnings.
76
; Show_PslChecksWarnings = 0
77
 
78
; Enable parsing of embedded PSL assertions. Default is enabled.
79
; EmbeddedPsl = 0
80
 
81
; Keep silent about case statement static warnings.
82
; Default is to give a warning.
83
; NoCaseStaticError = 1
84
 
85
; Keep silent about warnings caused by aggregates that are not locally static.
86
; Default is to give a warning.
87
; NoOthersStaticError = 1
88
 
89
; Treat as errors:
90
;   case statement static warnings
91
;   warnings caused by aggregates that are not locally static
92
; Overrides NoCaseStaticError, NoOthersStaticError settings.
93
; PedanticErrors = 1
94
 
95
; Turn off inclusion of debugging info within design units.
96
; Default is to include debugging info.
97
; NoDebug = 1
98
 
99
; Turn off "Loading..." messages. Default is messages on.
100
; Quiet = 1
101
 
102
; Turn on some limited synthesis rule compliance checking. Checks only:
103
;    -- signals used (read) by a process must be in the sensitivity list
104
; CheckSynthesis = 1
105
 
106
; Activate optimizations on expressions that do not involve signals,
107
; waits, or function/procedure/task invocations. Default is off.
108
; ScalarOpts = 1
109
 
110
; Turns on lint-style checking.
111
; Show_Lint = 1
112
 
113
; Require the user to specify a configuration for all bindings,
114
; and do not generate a compile time default binding for the
115
; component. This will result in an elaboration error of
116
; 'component not bound' if the user fails to do so. Avoids the rare
117
; issue of a false dependency upon the unused default binding.
118
; RequireConfigForAllDefaultBinding = 1
119
 
120
; Perform default binding at compile time.
121
; Default is to do default binding at load time.
122
; BindAtCompile=1;
123
 
124
; Inhibit range checking on subscripts of arrays. Range checking on
125
; scalars defined with subtypes is inhibited by default.
126
; NoIndexCheck = 1
127
 
128
; Inhibit range checks on all (implicit and explicit) assignments to
129
; scalar objects defined with subtypes.
130
; NoRangeCheck = 1
131
 
132
; Run the 0in tools from within the simulator.
133
; Default value set to 0. Please set it to 1 to invoke 0in.
134
; VcomZeroIn = 1
135
 
136
; Set the options to be passed to the 0in tools.
137
; Default value set to "". Please set it to appropriate options needed.
138
; VcomZeroInOptions = ""
139
 
140
; Turn off code coverage in VHDL subprograms. Default is on.
141
; CoverageNoSub = 0
142
 
143
; Automatically exclude VHDL case statement default branches.
144
; Default is to not exclude.
145
; CoverExcludeDefault = 1
146
 
147
; Turn on code coverage in VHDL generate blocks. Default is off.
148
; CoverGenerate = 1
149
 
150
; Use this directory for compiler temporary files instead of "work/_temp"
151
; CompilerTempDir = /tmp
152
 
153
[vlog]
154
 
155
; Turn off inclusion of debugging info within design units.
156
; Default is to include debugging info.
157
; NoDebug = 1
158
 
159
; Turn on `protect compiler directive processing.
160
; Default is to ignore `protect directives.
161
; Protect = 1
162
 
163
; Turn off "Loading..." messages. Default is messages on.
164
; Quiet = 1
165
 
166
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
167
; Default is off.
168
; Hazard = 1
169
 
170
; Turn on converting regular Verilog identifiers to uppercase. Allows case
171
; insensitivity for module names. Default is no conversion.
172
; UpCase = 1
173
 
174
; Activate optimizations on expressions that do not involve signals,
175
; waits, or function/procedure/task invocations. Default is off.
176
; ScalarOpts = 1
177
 
178
; Turns on lint-style checking.
179
; Show_Lint = 1
180
 
181
; Show source line containing error. Default is off.
182
; Show_source = 1
183
 
184
; Turn on bad option warning. Default is off.
185
; Show_BadOptionWarning = 1
186
 
187
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
188
vlog95compat = 0
189
 
190
; Turn off PSL warning messages. Default is to show warnings.
191
; Show_PslChecksWarnings = 0
192
 
193
; Enable parsing of embedded PSL assertions. Default is enabled.
194
; EmbeddedPsl = 0
195
 
196
; Set the threshold for automatically identifying sparse Verilog memories.
197
; A memory with depth equal to or more than the sparse memory threshold gets
198
; marked as sparse automatically, unless specified otherwise in source code.
199
; The default is 0 (i.e. no memory is automatically given sparse status)
200
; SparseMemThreshold = 1048576
201
 
202
; Set the maximum number of iterations permitted for a generate loop.
203
; Restricting this permits the implementation to recognize infinite
204
; generate loops.
205
; GenerateLoopIterationMax = 100000
206
 
207
; Set the maximum depth permitted for a recursive generate instantiation.
208
; Restricting this permits the implementation to recognize infinite
209
; recursions.
210
; GenerateRecursionDepthMax = 200
211
 
212
; Run the 0in tools from within the simulator.
213
; Default value set to 0. Please set it to 1 to invoke 0in.
214
; VlogZeroIn = 1
215
 
216
; Set the options to be passed to the 0in tools.
217
; Default value set to "". Please set it to appropriate options needed.
218
; VlogZeroInOptions = ""
219
 
220
; Run the 0in tools from within the simulator.
221
; Default value set to 0. Please set it to 1 to invoke 0in.
222
; VoptZeroIn = 1
223
 
224
; Set the options to be passed to the 0in tools.
225
; Default value set to "". Please set it to appropriate options needed.
226
; VoptZeroInOptions = ""
227
 
228
; Set the option to treat all files specified in a vlog invocation as a
229
; single compilation unit. The default value is set to 0 which will treat
230
; each file as a separate compilation unit as specified in the P1800 draft standard.
231
; MultiFileCompilationUnit = 1
232
 
233
; Automatically exclude Verilog case statement default branches.
234
; Default is to not exclude.
235
; CoverExcludeDefault = 1
236
 
237
; Turn on code coverage in VLOG generate blocks. Default is off.
238
; CoverGenerate = 1
239
 
240
; Specify the override for the default value of "cross_num_print_missing"
241
; option for the Cross in Covergroups. If not specified then LRM default
242
; value of 0 (zero) is used. This is a compile time option.
243
; SVCrossNumPrintMissingDefault = 0
244
 
245
; Setting following to 1 would cause creation of variables which
246
; would represent the value of Coverpoint expressions. This is used
247
; in conjunction with "SVCoverpointExprVariablePrefix" option
248
; in the modelsim.ini
249
; EnableSVCoverpointExprVariable = 0
250
 
251
; Specify the override for the prefix used in forming the variable names
252
; which represent the Coverpoint expressions. This is used in conjunction with
253
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
254
; The default prefix is "expr".
255
; The variable name is
256
;    variable name => _
257
; SVCoverpointExprVariablePrefix = expr
258
 
259
; Override for the default value of the SystemVerilog covergroup,
260
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
261
; NOTE: It does not override specific assignments in SystemVerilog
262
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
263
; can override this value.
264
; SVCovergroupGoalDefault = 100
265
 
266
; Override for the default value of the SystemVerilog covergroup,
267
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
268
; NOTE: It does not override specific assignments in SystemVerilog
269
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
270
; can override this value.
271
; SVCovergroupTypeGoalDefault = 100
272
 
273
; Specify the override for the default value of "strobe" option for the
274
; Covergroup Type. This is a compile time option which forces "strobe" to
275
; a user specified default value and supersedes SystemVerilog specified
276
; default value of '0'(zero). NOTE: This can be overriden by a runtime
277
; modelsim.ini variable "SVCovergroupStrobeDefault".
278
; SVCovergroupStrobeDefault = 0
279
 
280
[sccom]
281
; Enable use of SCV include files and library.  Default is off.
282
; UseScv = 1
283
 
284
; Add C++ compiler options to the sccom command line by using this variable.
285
; CppOptions = -g
286
 
287
; Use custom C++ compiler located at this path rather than the default path.
288
; The path should point directly at a compiler executable.
289
; CppPath = /usr/bin/g++
290
 
291
; Enable verbose messages from sccom.  Default is off.
292
; SccomVerbose = 1
293
 
294
; sccom logfile.  Default is no logfile.
295
; SccomLogfile = sccom.log
296
 
297
; Enable use of SC_MS include files and library.  Default is off.
298
; UseScMs = 1
299
 
300
[vsim]
301
 
302
; vopt flow
303
; Set to turn on automatic optimization of a design.
304
; Default is on
305
VoptFlow = 0
306
 
307
; vopt automatic SDF
308
; If automatic design optimization is on, enables automatic compilation
309
; of SDF files.
310
; Default is on, uncomment to turn off.
311
; VoptAutoSDFCompile = 0
312
 
313
; Simulator resolution
314
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
315
Resolution = 1 ps
316
 
317
; User time unit for run commands
318
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
319
; unit specified for Resolution. For example, if Resolution is 100ps,
320
; then UserTimeUnit defaults to ps.
321
; Should generally be set to default.
322
UserTimeUnit = ps
323
 
324
; Default run length
325
RunLength = 1000 ns
326
 
327
; Maximum iterations that can be run without advancing simulation time
328
IterationLimit = 5000
329
 
330
; Control PSL and Verilog Assume directives during simulation
331
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
332
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
333
; SimulateAssumeDirectives = 1
334
 
335
; Control the simulation of PSL and SVA
336
; These switches can be overridden by the vsim command line switches:
337
;    -psl, -nopsl, -sva, -nosva.
338
; Set SimulatePSL = 0 to disable PSL simulation
339
; Set SimulatePSL = 1 to enable PSL simulation (default)
340
; SimulatePSL = 1
341
; Set SimulateSVA = 0 to disable SVA simulation
342
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
343
; SimulateSVA = 1
344
 
345
; Directives to license manager can be set either as single value or as
346
; space separated multi-values:
347
; vhdl          Immediately reserve a VHDL license
348
; vlog          Immediately reserve a Verilog license
349
; plus          Immediately reserve a VHDL and Verilog license
350
; nomgc         Do not look for Mentor Graphics Licenses
351
; nomti         Do not look for Model Technology Licenses
352
; noqueue       Do not wait in the license queue when a license is not available
353
; viewsim       Try for viewer license but accept simulator license(s) instead
354
;               of queuing for viewer license (PE ONLY)
355
; noviewer      Disable checkout of msimviewer and vsim-viewer license
356
;               features (PE ONLY)
357
; noslvhdl      Disable checkout of qhsimvh and vsim license features
358
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
359
; nomix         Disable checkout of msimhdlmix and hdlmix license features
360
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
361
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
362
;               features
363
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
364
;               hdlmix license features
365
; Single value:
366
; License = plus
367
; Multi-value:
368
; License = noqueue plus
369
 
370
; Stop the simulator after a VHDL/Verilog immediate assertion message
371
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
372
BreakOnAssertion = 2
373
 
374
; VHDL assertion Message Format
375
; %S - Severity Level
376
; %R - Report Message
377
; %T - Time of assertion
378
; %D - Delta
379
; %I - Instance or Region pathname (if available)
380
; %i - Instance pathname with process
381
; %O - Process name
382
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
383
; %P - Instance or Region path without leaf process
384
; %F - File
385
; %L - Line number of assertion or, if assertion is in a subprogram, line
386
;      from which the call is made
387
; %% - Print '%' character
388
; If specific format for assertion level is defined, use its format.
389
; If specific format is not defined for assertion level:
390
; - and if failure occurs during elaboration, use AssertionFormatBreakLine;
391
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
392
;   level), use AssertionFormatBreak;
393
; - otherwise, use AssertionFormat.
394
; AssertionFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
395
; AssertionFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
396
; AssertionFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
397
; AssertionFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
398
; AssertionFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
399
; AssertionFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
400
; AssertionFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
401
; AssertionFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
402
 
403
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
404
; AssertFile = assert.log
405
 
406
 
407
; Simulation Breakpoint messages
408
; This flag controls the display of function names when reporting the location
409
; where the simulator stops do to a breakpoint or fatal error.
410
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
411
; Example wo/function name: # Break at counter.vhd line 44
412
ShowFunctions = 1
413
 
414
 
415
; Default radix for all windows and commands.
416
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
417
DefaultRadix = symbolic
418
 
419
; VSIM Startup command
420
; Startup = do startup.do
421
 
422
; File for saving command transcript
423
TranscriptFile = transcript
424
 
425
; File for saving command history
426
; CommandHistory = cmdhist.log
427
 
428
; Specify whether paths in simulator commands should be described
429
; in VHDL or Verilog format.
430
; For VHDL, PathSeparator = /
431
; For Verilog, PathSeparator = .
432
; Must not be the same character as DatasetSeparator.
433
PathSeparator = /
434
 
435
; Specify the dataset separator for fully rooted contexts.
436
; The default is ':'. For example: sim:/top
437
; Must not be the same character as PathSeparator.
438
DatasetSeparator = :
439
 
440
; Specify a unique path separator for the Signal Spy set of functions.
441
; The default will be to use the PathSeparator variable.
442
; Must not be the same character as DatasetSeparator.
443
; SignalSpyPathSeparator = /
444
 
445
; Disable VHDL assertion messages
446
; IgnoreNote = 1
447
; IgnoreWarning = 1
448
; IgnoreError = 1
449
; IgnoreFailure = 1
450
 
451
; Disable System Verilog assertion messages
452
; Info and Warning are disabled by default
453
; IgnoreSVAInfo = 0
454
; IgnoreSVAWarning = 0
455
; IgnoreSVAError = 1
456
; IgnoreSVAFatal = 1
457
 
458
; Default force kind. May be freeze, drive, deposit, or default
459
; or in other terms, fixed, wired, or charged.
460
; A value of "default" will use the signal kind to determine the
461
; force kind, drive for resolved signals, freeze for unresolved signals
462
; DefaultForceKind = freeze
463
 
464
; If zero, open files when elaborated; otherwise, open files on
465
; first read or write.  Default is 0.
466
; DelayFileOpen = 1
467
 
468
; Control VHDL files opened for write.
469
;   0 = Buffered, 1 = Unbuffered
470
UnbufferedOutput = 0
471
 
472
; Control the number of VHDL files open concurrently.
473
; This number should always be less than the current ulimit
474
; setting for max file descriptors.
475
;   0 = unlimited
476
ConcurrentFileLimit = 40
477
 
478
; Control the number of hierarchical regions displayed as
479
; part of a signal name shown in the Wave window.
480
; A value of zero tells VSIM to display the full name.
481
; The default is 0.
482
; WaveSignalNameWidth = 0
483
 
484
; Turn off warnings when changing VHDL constants and generics
485
; Default is 1 to generate warning messages
486
; WarnConstantChange = 0
487
 
488
; Turn off warnings from the std_logic_arith, std_logic_unsigned
489
; and std_logic_signed packages.
490
; StdArithNoWarnings = 1
491
 
492
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
493
; NumericStdNoWarnings = 1
494
 
495
; Control the format of the (VHDL) FOR generate statement label
496
; for each iteration.  Do not quote it.
497
; The format string here must contain the conversion codes %s and %d,
498
; in that order, and no other conversion codes.  The %s represents
499
; the generate_label; the %d represents the generate parameter value
500
; at a particular generate iteration (this is the position number if
501
; the generate parameter is of an enumeration type).  Embedded whitespace
502
; is allowed (but discouraged); leading and trailing whitespace is ignored.
503
; Application of the format must result in a unique scope name over all
504
; such names in the design so that name lookup can function properly.
505
; GenerateFormat = %s__%d
506
 
507
; Specify whether checkpoint files should be compressed.
508
; The default is 1 (compressed).
509
; CheckpointCompressMode = 0
510
 
511
; Specify whether to enable SystemVerilog DPI out-of-the-blue call.
512
; Out-of-the-blue call refers to a SystemVerilog export function call
513
; directly from a C function that don't have the proper context setup
514
; as done in DPI-C import C functions. When this is enabled, one can
515
; call a DPI export function (but not task) from any C code.
516
; The default is 0 (disabled).
517
; DpiOutOfTheBlue = 1
518
 
519
; List of dynamically loaded objects for Verilog PLI applications
520
; Veriuser = veriuser.sl
521
 
522
; Specify default options for the restart command. Options can be one
523
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
524
; DefaultRestartOptions = -force
525
 
526
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
527
; (> 500 megabyte memory footprint). Default is disabled.
528
; Specify number of megabytes to lock.
529
; LockedMemory = 1000
530
 
531
; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading.
532
; This is necessary when C++ files have been compiled with aCC's -AA option.
533
; The default behavior is to use /usr/lib/libCsup.sl.
534
; UseCsupV2 = 1
535
 
536
; Turn on (1) or off (0) WLF file compression.
537
; The default is 1 (compress WLF file).
538
; WLFCompress = 0
539
 
540
; Specify whether to save all design hierarchy (1) in the WLF file
541
; or only regions containing logged signals (0).
542
; The default is 0 (save only regions with logged signals).
543
; WLFSaveAllRegions = 1
544
 
545
; WLF file time limit.  Limit WLF file by time, as closely as possible,
546
; to the specified amount of simulation time.  When the limit is exceeded
547
; the earliest times get truncated from the file.
548
; If both time and size limits are specified the most restrictive is used.
549
; UserTimeUnits are used if time units are not specified.
550
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
551
; WLFTimeLimit = 0
552
 
553
; WLF file size limit.  Limit WLF file size, as closely as possible,
554
; to the specified number of megabytes.  If both time and size limits
555
; are specified then the most restrictive is used.
556
; The default is 0 (no limit).
557
; WLFSizeLimit = 1000
558
 
559
; Specify whether or not a WLF file should be deleted when the
560
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
561
; The default is 0 (do not delete WLF file when simulation ends).
562
; WLFDeleteOnQuit = 1
563
 
564
; Specify whether or not a WLF file should be optimized during
565
; simulation.  If set to 0, the WLF file will not be optimized.
566
; The default is 1, optimize the WLF file.
567
; WLFOptimize = 0
568
 
569
; Specify the name of the WLF file.
570
; The default is vsim.wlf
571
; WLFFilename = vsim.wlf
572
 
573
; WLF reader cache size limit.  Specifies the internal WLF file cache size,
574
; in megabytes, for EACH open WLF file.  A value of 0 turns off the
575
; WLF cache.
576
; The default setting is enabled to 256M per open WLF file.
577
; WLFCacheSize = 1000
578
 
579
; Specify the WLF file event collapse mode.
580
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
581
; 1 = Only record values of logged objects at the end of a simulator iteration.
582
;     (same as -wlfcollapsedelta)
583
; 2 = Only record values of logged objects at the end of a simulator time step.
584
;     (same as -wlfcollapsetime)
585
; The default is 1.
586
; WLFCollapseMode = 0
587
 
588
; Turn on/off undebuggable SystemC type warnings. Default is on.
589
; ShowUndebuggableScTypeWarning = 0
590
 
591
; Turn on/off unassociated SystemC name warnings. Default is off.
592
; ShowUnassociatedScNameWarning = 1
593
 
594
; Set SystemC default time unit.
595
; Set to fs, ps, ns, us, ms, or sec with optional
596
; prefix of 1, 10, or 100.  The default is 1 ns.
597
; The ScTimeUnit value is honored if it is coarser than Resolution.
598
; If ScTimeUnit is finer than Resolution, it is set to the value
599
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
600
; then the default time unit will be 1 ns.  However if Resolution
601
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
602
ScTimeUnit = ns
603
 
604
; Set the SCV relationship name that will be used to identify phase
605
; relations.  If the name given to a transactor relation matches this
606
; name, the transactions involved will be treated as phase transactions
607
ScvPhaseRelationName = mti_phase
608
 
609
; Customize the vsim kernel shutdown behavior at the end of the simulation.
610
; Some common causes of the end of simulation are $finish (implicit or explicit),
611
; sc_stop(), tf_dofinish(), and assertion failures.
612
; This should be set to "ask", "exit", or "stop". The default is "ask".
613
; "ask"  -- In batch mode, the vsim kernel will abruptly exit.
614
;           In GUI mode, a dialog box will pop up and ask for user confirmation
615
;           whether or not to quit the simulation.
616
; "stop" -- Cause the simulation to stay loaded in memory. This can make some
617
;           post-simulation tasks easier.
618
; "exit" -- The simulation will abruptly exit without asking for any confirmation.
619
; Note: these ini variables can be overriden by the vsim command
620
;       line switch "-onfinish ".
621
OnFinish = ask
622
 
623
; Print "simstats" result at the end of simulation before shutdown.
624
; If this is enabled, the simstats result will be printed out before shutdown.
625
; The default is off.
626
; PrintSimStats = 1
627
 
628
; Run simulator in assertion debug mode. Default is off.
629
; AssertionDebug = 1
630
 
631
; Turn on/off PSL/SVA concurrent assertion pass enable. Default is on.
632
; AssertionPassEnable = 0
633
 
634
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
635
; AssertionFailEnable = 0
636
 
637
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
638
; Any positive integer, -1 for infinity.
639
; AssertionPassLimit = 1
640
 
641
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
642
; Any positive integer, -1 for infinity.
643
; AssertionFailLimit = 1
644
 
645
; Turn on/off PSL concurrent assertion pass log. Default is off.
646
; The flag does not affect SVA
647
; AssertionPassLog = 1
648
 
649
; Turn on/off PSL concurrent assertion fail log. Default is on.
650
; The flag does not affect SVA
651
; AssertionFailLog = 0
652
 
653
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
654
; 0 = Continue  1 = Break  2 = Exit
655
; AssertionFailAction = 1
656
 
657
; Turn on/off code coverage
658
; CodeCoverage = 0
659
 
660
; Count all code coverage condition and expression truth table rows that match.
661
; CoverCountAll = 1
662
 
663
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
664
; CoverEnable = 0
665
 
666
; Turn on/off PSL/SVA cover log.  Default is off.
667
; CoverLog = 1
668
 
669
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
670
; CoverAtLeast = 2
671
 
672
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
673
; Any positive integer, -1 for infinity.
674
; CoverLimit = 1
675
 
676
; Specify the coverage database filename.  Default is "" (i.e. database is NOT automatically saved on close).
677
; UCDBFilename = vsim.ucdb
678
 
679
; Specify the maximum limit for the number of Cross (bin) products reported
680
; in XML and UCDB report against a Cross. A warning is issued if the limit
681
; is crossed.
682
; MaxReportRhsSVCrossProducts = 1000
683
 
684
; Specify the override for the "auto_bin_max" option for the Covergroups.
685
; If not specified then value from Covergroup "option" is used.
686
; SVCoverpointAutoBinMax = 64
687
 
688
; Specify the override for the value of "cross_num_print_missing"
689
; option for the Cross in Covergroups. If not specified then value
690
; specified in the "option.cross_num_print_missing" is used. This
691
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
692
; value specified by user in source file and any SVCrossNumPrintMissingDefault
693
; specified in modelsim.ini.
694
; SVCrossNumPrintMissing = 0
695
 
696
; Specify the override for the value of "strobe" option for the
697
; Covergroup Type. If not specified then value in "type_option.strobe"
698
; will be used. This is runtime option which forces "strobe" to
699
; user specified value and supersedes user specified values in the
700
; SystemVerilog Code. NOTE: This also overrides the compile time
701
; default value override specified using "SVCovergroupStrobeDefault"
702
; SVCovergroupStrobe = 0
703
 
704
; Override for explicit assignments in source code to "option.goal" of
705
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
706
; default value of "option.goal" (defined to be 100 in the SystemVerilog
707
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
708
; SVCovergroupGoal = 100
709
 
710
; Override for explicit assignments in source code to "type_option.goal" of
711
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
712
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
713
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
714
; SVCovergroupTypeGoal = 100
715
 
716
; Specify the maximum number of Coverpoint bins in whole design for
717
; all Covergroups.
718
; MaxSVCoverpointBinsDesign = 2147483648
719
 
720
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
721
; MaxSVCoverpointBinsInst = 2147483648
722
 
723
; Specify the maximum number of Cross bins in whole design for
724
; all Covergroups.
725
; MaxSVCrossBinsDesign = 2147483648
726
 
727
; Specify maximum number of Cross bins in any instance of a Covergroup
728
; MaxSVCrossBinsInst = 2147483648
729
 
730
; Set weight for all PSL/SVA cover directives.  Default is 1.
731
; CoverWeight = 2
732
 
733
; Check vsim plusargs.  Default is 0 (off).
734
; 0 = Don't check plusargs
735
; 1 = Warning on unrecognized plusarg
736
; 2 = Error and exit on unrecognized plusarg
737
; CheckPlusargs = 1
738
 
739
; Load the specified shared objects with the RTLD_GLOBAL flag.
740
; This gives global visibility to all symbols in the shared objects,
741
; meaning that subsequently loaded shared objects can bind to symbols
742
; in the global shared objects.  The list of shared objects should
743
; be whitespace delimited.  This option is not supported on the
744
; Windows or AIX platforms.
745
; GlobalSharedObjectList = example1.so example2.so example3.so
746
 
747
; Run the 0in tools from within the simulator.
748
; Default value set to 0. Please set it to 1 to invoke 0in.
749
; VsimZeroIn = 1
750
 
751
; Set the options to be passed to the 0in tools.
752
; Default value set to "". Please set it to appropriate options needed.
753
; VsimZeroInOptions = ""
754
 
755
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
756
; Sv_Seed = 0
757
 
758
; Maximum size of dynamic arrays that are resized during randomize().
759
; The default is 1000. A value of 0 indicates no limit.
760
; SolveArrayResizeMax = 1000
761
 
762
; Error message severity when randomize() failure is detected (SystemVerilog).
763
; The default is 0 (no error).
764
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
765
; SolveFailSeverity = 0
766
 
767
; Enable/disable debug information for randomize() failures (SystemVerilog).
768
; The default is 0 (disabled). Set to 1 to enable.
769
; SolveFailDebug = 0
770
 
771
; When SolveFailDebug is enabled, this value specifies the maximum number of
772
; constraint subsets that will be tested for conflicts.
773
; The default is 0 (no limit).
774
; SolveFailDebugLimit = 0
775
 
776
; When SolveFailDebug is enabled, this value specifies the maximum size of
777
; constraint subsets that will be tested for conflicts.
778
; The default value is 0 (no limit).
779
; SolveFailDebugMaxSet = 0
780
 
781
; Maximum size of the solution graph that may be generated during randomize().
782
; This value can be used to force randomize() to abort if the complexity of
783
; the constraint scenario (both in memory and time spent during evaluation)
784
; exceeds the specified limit. This value is specified in 1000s of nodes.
785
; The default is 10000. A value of 0 indicates no limit.
786
; SolveGraphMaxSize = 10000
787
 
788
; Use SolveFlags to specify options that will guide the behavior of the
789
; constraint solver. These options may improve the performance of the
790
; constraint solver for some testcases, and decrease the performance of
791
; the constraint solver for others.
792
; The default value is "" (no options).
793
;
794
; Valid flags are:
795
;    i = disable bit interleaving for >, >=, <, <= constraints
796
;    r = reverse bit interleaving
797
;
798
; SolveFlags =
799
 
800
; Specify random sequence compatiblity with a prior letter release. This
801
; option is used to get the same random sequences during simulation as
802
; as a prior letter release. Only prior letter releases (of the current
803
; number release) are allowed.
804
; Note: To achieve the same random sequences, solver optimizations and/or
805
; bug fixes introduced since the specified release may be disabled -
806
; yielding the performance / behavior of the prior release.
807
; Default value set to "" (random compatibility not required).
808
; SolveRev =
809
 
810
; Environment variable expansion of command line arguments has been depricated
811
; in favor shell level expansion.  Universal environment variable expansion
812
; inside -f files is support and continued support for MGC Location Maps provide
813
; alternative methods for handling flexible pathnames.
814
; The following line may be uncommented and the value set to 1 to re-enable this
815
; deprecated behavior.  The default value is 0.
816
; DeprecatedEnvironmentVariableExpansion = 0
817
 
818
; Retroactive Recording uses a limited number of private data channels in the WLF
819
; file.  Too many channels degrade WLF performance.  If the limit is reached,
820
; simulation ends with a fatal error.  You may change this limit as needed, but be
821
; aware of the implications of too many channels.  The value must be an integer
822
; greater than or equal to zero, where zero disables all retroactive recording.
823
; RetroChannelLimit = 20
824
 
825
; Options to give vopt when code coverage is turned on.
826
; Default is "+acc=lprnb -opt=-merge -opt=-suppressAlways"
827
; VoptCoverageOptions = +acc=lprnb -opt=-merge -opt=-suppressAlways
828
 
829
; Turn on/off collapsing of bus ports in VCD dumpports output
830
DumpportsCollapse = 0
831
 
832
[lmc]
833
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
834
libsm = $MODEL_TECH/libsm.sl
835
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
836
; libsm = $MODEL_TECH/libsm.dll
837
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
838
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
839
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
840
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
841
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
842
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
843
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
844
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
845
;  Logic Modeling's SmartModel SWIFT software (Linux)
846
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
847
 
848
; The simulator's interface to Logic Modeling's hardware modeler SFI software
849
libhm = $MODEL_TECH/libhm.sl
850
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
851
; libhm = $MODEL_TECH/libhm.dll
852
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
853
; libsfi = /lib/hp700/libsfi.sl
854
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
855
; libsfi = /lib/rs6000/libsfi.a
856
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
857
; libsfi = /lib/sun4.solaris/libsfi.so
858
;  Logic Modeling's hardware modeler SFI software (Windows NT)
859
; libsfi = /lib/pcnt/lm_sfi.dll
860
;  Logic Modeling's hardware modeler SFI software (Linux)
861
; libsfi = /lib/linux/libsfi.so
862
 
863
[msg_system]
864
; Change a message severity or suppress a message.
865
; The format is:  = [,...]
866
; Examples:
867
;   note = 3009
868
;   warning = 3033
869
;   error = 3010,3016
870
;   fatal = 3016,3033
871
;   suppress = 3009,3016,3043
872
; The command verror  can be used to get the complete
873
; description of a message.
874
 
875
; Control transcripting of elaboration/runtime messages.
876
; The default is to have messages appear in the transcript and
877
; recorded in the wlf file (messages that are recorded in the
878
; wlf file can be viewed in the MsgViewer).  The other settings
879
; are to send messages only to the transcript or only to the
880
; wlf file.  The valid values are
881
;    both  {default}
882
;    tran  {transcript only}
883
;    wlf   {wlf file only}
884
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.