OpenCores
URL https://opencores.org/ocsvn/image_component_labeling_and_feature_extraction/image_component_labeling_and_feature_extraction/trunk

Subversion Repositories image_component_labeling_and_feature_extraction

[/] [image_component_labeling_and_feature_extraction/] [trunk/] [ram_denom.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 malikpearl
------------------------------------------------------------------------------------
2
---- Company: 
3
---- Engineer: 
4
---- 
5
---- Create Date:    10:00:30 11/27/2008 
6
---- Design Name: 
7
---- Module Name:    ram1w2r - Behavioral 
8
---- Project Name: 
9
---- Target Devices: 
10
---- Tool versions: 
11
---- Description: 
12
----
13
---- Dependencies: 
14
----
15
---- Revision: 
16
---- Revision 0.01 - File Created
17
---- Additional Comments: 
18
----
19
 
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity ram_denom is
31
    Port ( addrW : in  STD_LOGIC_VECTOR (9 downto 0);
32
           din : in  STD_LOGIC_VECTOR (18 downto 0);
33
           we : in  STD_LOGIC;
34
           addrR : in  STD_LOGIC_VECTOR (9 downto 0);
35
           dout : out  STD_LOGIC_VECTOR (18 downto 0);
36
           clk : in  STD_LOGIC);
37
end ram_denom;
38
 
39
architecture Behavioral of ram_denom is
40
 
41
type ram_type is array(1023 downto 0) of std_logic_vector(18 downto 0);
42
signal ram_array : ram_type:=(others=>(others=>'0'));
43
 
44
begin
45
        process(clk) --,ramreset)
46
        begin
47
                if clk'event and clk ='1' then
48
                        if we='1' then
49
                                ram_array(conv_integer(addrW)) <= din;
50
                        end if;
51
                        dout <= ram_array(conv_integer(addrR));
52
                end if;
53
        end process;
54
end Behavioral;
55
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.