OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [mips_mpu1_template.vhdl] - Blame information for rev 55

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 55 ja_rd
--------------------------------------------------------------------------------
2
-- This file was generated automatically from '/src/mips_mpu2_template.vhdl'.
3
--------------------------------------------------------------------------------
4
-- Synthesizable MPU -- CPU + cache + bootstrap BRAM + UART
5
--
6
-- This module uses the 'stub' version of the cache: a cache which actually is 
7
-- only an interface between the cpu and external static memory. This is useful 
8
-- to test external memory interface and cache-cpu interface without the cache
9
-- functionality getting in the way.
10
--------------------------------------------------------------------------------
11
 
12
library ieee;
13
use ieee.std_logic_1164.all;
14
use ieee.std_logic_arith.all;
15
use ieee.std_logic_unsigned.all;
16
use work.mips_pkg.all;
17
 
18
entity mips_mpu is
19
    generic (
20
        SRAM_ADDR_SIZE : integer := 17
21
    );
22
    port(
23
        clk             : in std_logic;
24
        reset           : in std_logic;
25
        interrupt       : in std_logic;
26
 
27
        -- interface to FPGA i/o devices
28
        io_rd_data      : in std_logic_vector(31 downto 0);
29
        io_rd_addr      : out std_logic_vector(31 downto 2);
30
        io_wr_addr      : out std_logic_vector(31 downto 2);
31
        io_wr_data      : out std_logic_vector(31 downto 0);
32
        io_rd_vma       : out std_logic;
33
        io_byte_we      : out std_logic_vector(3 downto 0);
34
 
35
        -- interface to asynchronous 16-bit-wide EXTERNAL SRAM
36
        sram_address    : out std_logic_vector(SRAM_ADDR_SIZE downto 1);
37
        sram_databus    : inout std_logic_vector(15 downto 0);
38
        sram_byte_we_n  : out std_logic_vector(1 downto 0);
39
        sram_oe_n       : out std_logic;
40
 
41
        -- UART 
42
        uart_rxd        : in std_logic;
43
        uart_txd        : out std_logic
44
    );
45
end; --entity mips_mpu
46
 
47
architecture rtl of mips_mpu is
48
 
49
 
50
signal reset_sync :         std_logic_vector(2 downto 0);
51
 
52
-- interface cpu-cache
53
signal cpu_data_rd_addr :   t_word;
54
signal cpu_data_rd_vma :    std_logic;
55
signal cpu_data_rd :        t_word;
56
signal cpu_code_rd_addr :   t_pc;
57
signal cpu_code_rd :        t_word;
58
signal cpu_code_rd_vma :    std_logic;
59
signal cpu_data_wr_addr :   t_pc;
60
signal cpu_data_wr :        t_word;
61
signal cpu_byte_we :        std_logic_vector(3 downto 0);
62
signal cpu_mem_wait :       std_logic;
63
 
64
-- interface to i/o
65
signal mpu_io_rd_data :     std_logic_vector(31 downto 0);
66
signal mpu_io_wr_data :     std_logic_vector(31 downto 0);
67
signal mpu_io_rd_addr :     std_logic_vector(31 downto 2);
68
signal mpu_io_wr_addr :     std_logic_vector(31 downto 2);
69
signal mpu_io_rd_vma :      std_logic;
70
signal mpu_io_byte_we :     std_logic_vector(3 downto 0);
71
 
72
-- interface to UARTs
73
signal data_uart :          t_word;
74
signal data_uart_status :   t_word;
75
signal uart_tx_rdy :        std_logic := '1';
76
signal uart_rx_rdy :        std_logic := '1';
77
signal uart_write_tx :      std_logic;
78
signal uart_read_rx :       std_logic;
79
 
80
 
81
-- Block ram
82
constant BRAM_SIZE : integer := @code_table_size@;
83
constant BRAM_ADDR_SIZE : integer := log2(BRAM_SIZE);
84
 
85
type t_bram is array(0 to BRAM_SIZE-1) of std_logic_vector(7 downto 0);
86
 
87
-- bram0 is LSB, bram3 is MSB
88
signal bram3 :              t_bram := (@code3@);
89
signal bram2 :              t_bram := (@code2@);
90
signal bram1 :              t_bram := (@code1@);
91
signal bram0 :              t_bram := (@code0@);
92
 
93
subtype t_bram_address is std_logic_vector(BRAM_ADDR_SIZE-1 downto 0);
94
 
95
signal bram_rd_addr :       t_bram_address;
96
signal bram_wr_addr :       t_bram_address;
97
signal bram_rd_data :       t_word;
98
signal bram_wr_data :       t_word;
99
signal bram_byte_we :       std_logic_vector(3 downto 0);
100
 
101
 
102
--------------------------------------------------------------------------------
103
begin
104
 
105
cpu: entity work.mips_cpu
106
    port map (
107
        interrupt   => '0',
108
 
109
        data_rd_addr=> cpu_data_rd_addr,
110
        data_rd_vma => cpu_data_rd_vma,
111
        data_rd     => cpu_data_rd,
112
 
113
        code_rd_addr=> cpu_code_rd_addr,
114
        code_rd     => cpu_code_rd,
115
        code_rd_vma => cpu_code_rd_vma,
116
 
117
        data_wr_addr=> cpu_data_wr_addr,
118
        data_wr     => cpu_data_wr,
119
        byte_we     => cpu_byte_we,
120
 
121
        mem_wait    => cpu_mem_wait,
122
 
123
        clk         => clk,
124
        reset       => reset
125
    );
126
 
127
cache: entity work.mips_cache_stub
128
    generic map (
129
        BRAM_ADDR_SIZE => BRAM_ADDR_SIZE,
130
        SRAM_ADDR_SIZE => SRAM_ADDR_SIZE
131
    )
132
    port map (
133
        clk             => clk,
134
        reset           => reset,
135
 
136
        -- Interface to CPU core
137
        data_rd_addr    => cpu_data_rd_addr,
138
        data_rd         => cpu_data_rd,
139
        data_rd_vma     => cpu_data_rd_vma,
140
 
141
        code_rd_addr    => cpu_code_rd_addr,
142
        code_rd         => cpu_code_rd,
143
        code_rd_vma     => cpu_code_rd_vma,
144
 
145
        data_wr_addr    => cpu_data_wr_addr,
146
        byte_we         => cpu_byte_we,
147
        data_wr         => cpu_data_wr,
148
 
149
        mem_wait        => cpu_mem_wait,
150
        cache_enable    => '1',
151
 
152
        -- interface to FPGA i/o devices
153
        io_rd_data      => mpu_io_rd_data,
154
        io_wr_data      => mpu_io_wr_data,
155
        io_rd_addr      => mpu_io_rd_addr,
156
        io_wr_addr      => mpu_io_wr_addr,
157
        io_rd_vma       => mpu_io_rd_vma,
158
        io_byte_we      => mpu_io_byte_we,
159
 
160
        -- interface to synchronous 32-bit-wide FPGA BRAM
161
        bram_rd_data    => bram_rd_data,
162
        bram_wr_data    => bram_wr_data,
163
        bram_rd_addr    => bram_rd_addr,
164
        bram_wr_addr    => bram_wr_addr,
165
        bram_byte_we    => bram_byte_we,
166
 
167
        -- interface to asynchronous 16-bit-wide external SRAM
168
        sram_address    => sram_address,
169
        sram_databus    => sram_databus,
170
        sram_byte_we_n  => sram_byte_we_n,
171
        sram_oe_n       => sram_oe_n
172
    );
173
 
174
 
175
--------------------------------------------------------------------------------
176
-- BRAM interface 
177
 
178
fpga_ram_block:
179
process(clk)
180
begin
181
    if clk'event and clk='1' then
182
 
183
        bram_rd_data <=
184
            bram3(conv_integer(unsigned(bram_rd_addr))) &
185
            bram2(conv_integer(unsigned(bram_rd_addr))) &
186
            bram1(conv_integer(unsigned(bram_rd_addr))) &
187
            bram0(conv_integer(unsigned(bram_rd_addr)));
188
 
189
    end if;
190
end process fpga_ram_block;
191
 
192
-- FIXME this should be in parent block
193
reset_synchronization:
194
process(clk)
195
begin
196
    if clk'event and clk='1' then
197
        reset_sync(2) <= reset;
198
        reset_sync(1) <= reset_sync(2);
199
        reset_sync(0) <= reset_sync(1);
200
    end if;
201
end process reset_synchronization;
202
 
203
--------------------------------------------------------------------------------
204
 
205
 
206
--------------------------------------------------------------------------------
207
 
208
serial_rx : entity work.rs232_rx
209
    port map(
210
        rxd =>      uart_rxd,
211
        data_rx =>  OPEN, --rs232_data_rx,
212
        rx_rdy =>   uart_rx_rdy,
213
        read_rx =>  '1', --read_rx,
214
        clk =>      clk,
215
        reset =>    reset_sync(0)
216
    );
217
 
218
 
219
uart_write_tx <= '1'
220
    when mpu_io_byte_we/="0000" and mpu_io_wr_addr(31 downto 28)=X"2"
221
    else '0';
222
 
223
serial_tx : entity work.rs232_tx
224
    port map(
225
        clk =>      clk,
226
        reset =>    reset_sync(0),
227
        rdy =>      uart_tx_rdy,
228
        load =>     uart_write_tx,
229
        data_i =>   mpu_io_wr_data(7 downto 0),
230
        txd =>      uart_txd
231
    );
232
 
233
-- UART read registers; only status, and hardwired, for the time being
234
data_uart <= data_uart_status; -- FIXME no data rx yet
235
data_uart_status <= X"0000000" & "00" & uart_tx_rdy & uart_rx_rdy;
236
 
237
mpu_io_rd_data <= data_uart;
238
 
239
-- io_rd_data 
240
io_rd_addr <= mpu_io_rd_addr;
241
io_wr_addr <= mpu_io_wr_addr;
242
io_wr_data <= mpu_io_wr_data;
243
io_rd_vma <= mpu_io_rd_vma;
244
io_byte_we <= mpu_io_byte_we;
245
 
246
 
247
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.