OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [mips_mpu1_template.vhdl] - Blame information for rev 77

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 55 ja_rd
--------------------------------------------------------------------------------
2
-- This file was generated automatically from '/src/mips_mpu2_template.vhdl'.
3
--------------------------------------------------------------------------------
4
-- Synthesizable MPU -- CPU + cache + bootstrap BRAM + UART
5
--
6
-- This module uses the 'stub' version of the cache: a cache which actually is 
7
-- only an interface between the cpu and external static memory. This is useful 
8
-- to test external memory interface and cache-cpu interface without the cache
9
-- functionality getting in the way.
10
--------------------------------------------------------------------------------
11
 
12
library ieee;
13
use ieee.std_logic_1164.all;
14
use ieee.std_logic_arith.all;
15
use ieee.std_logic_unsigned.all;
16
use work.mips_pkg.all;
17
 
18
entity mips_mpu is
19
    generic (
20
        SRAM_ADDR_SIZE : integer := 17
21
    );
22
    port(
23
        clk             : in std_logic;
24
        reset           : in std_logic;
25
        interrupt       : in std_logic;
26
 
27
        -- interface to FPGA i/o devices
28
        io_rd_data      : in std_logic_vector(31 downto 0);
29
        io_rd_addr      : out std_logic_vector(31 downto 2);
30
        io_wr_addr      : out std_logic_vector(31 downto 2);
31
        io_wr_data      : out std_logic_vector(31 downto 0);
32
        io_rd_vma       : out std_logic;
33
        io_byte_we      : out std_logic_vector(3 downto 0);
34
 
35
        -- interface to asynchronous 16-bit-wide EXTERNAL SRAM
36
        sram_address    : out std_logic_vector(SRAM_ADDR_SIZE downto 1);
37 77 ja_rd
        sram_data_wr    : out std_logic_vector(15 downto 0);
38
        sram_data_rd    : in std_logic_vector(15 downto 0);
39 55 ja_rd
        sram_byte_we_n  : out std_logic_vector(1 downto 0);
40
        sram_oe_n       : out std_logic;
41
 
42
        -- UART 
43
        uart_rxd        : in std_logic;
44
        uart_txd        : out std_logic
45
    );
46
end; --entity mips_mpu
47
 
48
architecture rtl of mips_mpu is
49
 
50
-- interface cpu-cache
51
signal cpu_data_rd_addr :   t_word;
52
signal cpu_data_rd_vma :    std_logic;
53
signal cpu_data_rd :        t_word;
54
signal cpu_code_rd_addr :   t_pc;
55
signal cpu_code_rd :        t_word;
56
signal cpu_code_rd_vma :    std_logic;
57
signal cpu_data_wr_addr :   t_pc;
58
signal cpu_data_wr :        t_word;
59
signal cpu_byte_we :        std_logic_vector(3 downto 0);
60
signal cpu_mem_wait :       std_logic;
61
 
62
-- interface to i/o
63
signal mpu_io_rd_data :     std_logic_vector(31 downto 0);
64
signal mpu_io_wr_data :     std_logic_vector(31 downto 0);
65
signal mpu_io_rd_addr :     std_logic_vector(31 downto 2);
66
signal mpu_io_wr_addr :     std_logic_vector(31 downto 2);
67
signal mpu_io_rd_vma :      std_logic;
68
signal mpu_io_byte_we :     std_logic_vector(3 downto 0);
69
 
70
-- interface to UARTs
71
signal data_uart :          t_word;
72
signal data_uart_status :   t_word;
73
signal uart_tx_rdy :        std_logic := '1';
74
signal uart_rx_rdy :        std_logic := '1';
75
signal uart_write_tx :      std_logic;
76
signal uart_read_rx :       std_logic;
77
 
78
 
79
-- Block ram
80
constant BRAM_SIZE : integer := @code_table_size@;
81
constant BRAM_ADDR_SIZE : integer := log2(BRAM_SIZE);
82
 
83 56 ja_rd
--type t_bram is array(0 to BRAM_SIZE-1) of std_logic_vector(7 downto 0);
84
type t_bram is array(0 to (BRAM_SIZE)-1) of t_word;
85 55 ja_rd
 
86
-- bram0 is LSB, bram3 is MSB
87 56 ja_rd
--signal bram3 :              t_bram := (@ code3@);
88
--signal bram2 :              t_bram := (@ code2@);
89
--signal bram1 :              t_bram := (@ code1@);
90
--signal bram0 :              t_bram := (@ code0@);
91 55 ja_rd
 
92 56 ja_rd
signal bram :               t_bram := (@code-32bit@);
93
 
94 55 ja_rd
subtype t_bram_address is std_logic_vector(BRAM_ADDR_SIZE-1 downto 0);
95
 
96
signal bram_rd_addr :       t_bram_address;
97
signal bram_wr_addr :       t_bram_address;
98
signal bram_rd_data :       t_word;
99
signal bram_wr_data :       t_word;
100
signal bram_byte_we :       std_logic_vector(3 downto 0);
101
 
102
 
103
--------------------------------------------------------------------------------
104
begin
105
 
106
cpu: entity work.mips_cpu
107
    port map (
108
        interrupt   => '0',
109
 
110
        data_rd_addr=> cpu_data_rd_addr,
111
        data_rd_vma => cpu_data_rd_vma,
112
        data_rd     => cpu_data_rd,
113
 
114
        code_rd_addr=> cpu_code_rd_addr,
115
        code_rd     => cpu_code_rd,
116
        code_rd_vma => cpu_code_rd_vma,
117
 
118
        data_wr_addr=> cpu_data_wr_addr,
119
        data_wr     => cpu_data_wr,
120
        byte_we     => cpu_byte_we,
121
 
122
        mem_wait    => cpu_mem_wait,
123
 
124
        clk         => clk,
125
        reset       => reset
126
    );
127
 
128
cache: entity work.mips_cache_stub
129
    generic map (
130
        BRAM_ADDR_SIZE => BRAM_ADDR_SIZE,
131
        SRAM_ADDR_SIZE => SRAM_ADDR_SIZE
132
    )
133
    port map (
134
        clk             => clk,
135
        reset           => reset,
136
 
137
        -- Interface to CPU core
138
        data_rd_addr    => cpu_data_rd_addr,
139
        data_rd         => cpu_data_rd,
140
        data_rd_vma     => cpu_data_rd_vma,
141
 
142
        code_rd_addr    => cpu_code_rd_addr,
143
        code_rd         => cpu_code_rd,
144
        code_rd_vma     => cpu_code_rd_vma,
145
 
146
        data_wr_addr    => cpu_data_wr_addr,
147
        byte_we         => cpu_byte_we,
148
        data_wr         => cpu_data_wr,
149
 
150
        mem_wait        => cpu_mem_wait,
151
        cache_enable    => '1',
152
 
153
        -- interface to FPGA i/o devices
154
        io_rd_data      => mpu_io_rd_data,
155
        io_wr_data      => mpu_io_wr_data,
156
        io_rd_addr      => mpu_io_rd_addr,
157
        io_wr_addr      => mpu_io_wr_addr,
158
        io_rd_vma       => mpu_io_rd_vma,
159
        io_byte_we      => mpu_io_byte_we,
160
 
161
        -- interface to synchronous 32-bit-wide FPGA BRAM
162
        bram_rd_data    => bram_rd_data,
163
        bram_wr_data    => bram_wr_data,
164
        bram_rd_addr    => bram_rd_addr,
165
        bram_wr_addr    => bram_wr_addr,
166
        bram_byte_we    => bram_byte_we,
167
 
168
        -- interface to asynchronous 16-bit-wide external SRAM
169
        sram_address    => sram_address,
170 77 ja_rd
        sram_data_rd    => sram_data_rd,
171
        sram_data_wr    => sram_data_wr,
172 55 ja_rd
        sram_byte_we_n  => sram_byte_we_n,
173
        sram_oe_n       => sram_oe_n
174
    );
175
 
176
 
177
--------------------------------------------------------------------------------
178
-- BRAM interface 
179
 
180
fpga_ram_block:
181
process(clk)
182
begin
183
    if clk'event and clk='1' then
184
 
185 56 ja_rd
        --bram_rd_data <= 
186
        --    bram3(conv_integer(unsigned(bram_rd_addr))) &
187
        --    bram2(conv_integer(unsigned(bram_rd_addr))) &
188
        --    bram1(conv_integer(unsigned(bram_rd_addr))) &
189
        --    bram0(conv_integer(unsigned(bram_rd_addr)));
190
        bram_rd_data <= bram(conv_integer(unsigned(bram_rd_addr)));
191 55 ja_rd
 
192
    end if;
193
end process fpga_ram_block;
194
 
195
 
196
--------------------------------------------------------------------------------
197
 
198
 
199
--------------------------------------------------------------------------------
200
 
201
serial_rx : entity work.rs232_rx
202
    port map(
203
        rxd =>      uart_rxd,
204
        data_rx =>  OPEN, --rs232_data_rx,
205
        rx_rdy =>   uart_rx_rdy,
206
        read_rx =>  '1', --read_rx,
207
        clk =>      clk,
208 59 ja_rd
        reset =>    reset
209 55 ja_rd
    );
210
 
211
 
212
uart_write_tx <= '1'
213 65 ja_rd
    when mpu_io_byte_we/="0000" and
214
         mpu_io_wr_addr(31 downto 28)=X"2" and
215
         mpu_io_wr_addr(15 downto 12)=X"0"
216 55 ja_rd
    else '0';
217
 
218
serial_tx : entity work.rs232_tx
219
    port map(
220
        clk =>      clk,
221 59 ja_rd
        reset =>    reset,
222 55 ja_rd
        rdy =>      uart_tx_rdy,
223
        load =>     uart_write_tx,
224
        data_i =>   mpu_io_wr_data(7 downto 0),
225
        txd =>      uart_txd
226
    );
227
 
228
-- UART read registers; only status, and hardwired, for the time being
229
data_uart <= data_uart_status; -- FIXME no data rx yet
230
data_uart_status <= X"0000000" & "00" & uart_tx_rdy & uart_rx_rdy;
231
 
232 65 ja_rd
mpu_io_rd_data <=
233
    data_uart when mpu_io_rd_addr(15 downto 12)=X"0" else
234
    io_rd_data;
235 55 ja_rd
 
236
-- io_rd_data 
237
io_rd_addr <= mpu_io_rd_addr;
238
io_wr_addr <= mpu_io_wr_addr;
239
io_wr_data <= mpu_io_wr_data;
240
io_rd_vma <= mpu_io_rd_vma;
241
io_byte_we <= mpu_io_byte_we;
242
 
243
 
244
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.