OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [mips_mpu1_template.vhdl] - Blame information for rev 90

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 55 ja_rd
--------------------------------------------------------------------------------
2
-- This file was generated automatically from '/src/mips_mpu2_template.vhdl'.
3
--------------------------------------------------------------------------------
4
-- Synthesizable MPU -- CPU + cache + bootstrap BRAM + UART
5
--
6
-- This module uses the 'stub' version of the cache: a cache which actually is 
7
-- only an interface between the cpu and external static memory. This is useful 
8
-- to test external memory interface and cache-cpu interface without the cache
9
-- functionality getting in the way.
10
--------------------------------------------------------------------------------
11
 
12
library ieee;
13
use ieee.std_logic_1164.all;
14
use ieee.std_logic_arith.all;
15
use ieee.std_logic_unsigned.all;
16
use work.mips_pkg.all;
17
 
18
entity mips_mpu is
19
    generic (
20
        SRAM_ADDR_SIZE : integer := 17
21
    );
22
    port(
23
        clk             : in std_logic;
24
        reset           : in std_logic;
25
        interrupt       : in std_logic;
26
 
27
        -- interface to FPGA i/o devices
28
        io_rd_data      : in std_logic_vector(31 downto 0);
29
        io_rd_addr      : out std_logic_vector(31 downto 2);
30
        io_wr_addr      : out std_logic_vector(31 downto 2);
31
        io_wr_data      : out std_logic_vector(31 downto 0);
32
        io_rd_vma       : out std_logic;
33
        io_byte_we      : out std_logic_vector(3 downto 0);
34
 
35
        -- interface to asynchronous 16-bit-wide EXTERNAL SRAM
36
        sram_address    : out std_logic_vector(SRAM_ADDR_SIZE downto 1);
37 77 ja_rd
        sram_data_wr    : out std_logic_vector(15 downto 0);
38
        sram_data_rd    : in std_logic_vector(15 downto 0);
39 55 ja_rd
        sram_byte_we_n  : out std_logic_vector(1 downto 0);
40
        sram_oe_n       : out std_logic;
41
 
42
        -- UART 
43
        uart_rxd        : in std_logic;
44
        uart_txd        : out std_logic
45
    );
46
end; --entity mips_mpu
47
 
48
architecture rtl of mips_mpu is
49
 
50
-- interface cpu-cache
51
signal cpu_data_rd_addr :   t_word;
52
signal cpu_data_rd_vma :    std_logic;
53
signal cpu_data_rd :        t_word;
54
signal cpu_code_rd_addr :   t_pc;
55
signal cpu_code_rd :        t_word;
56
signal cpu_code_rd_vma :    std_logic;
57
signal cpu_data_wr_addr :   t_pc;
58
signal cpu_data_wr :        t_word;
59
signal cpu_byte_we :        std_logic_vector(3 downto 0);
60
signal cpu_mem_wait :       std_logic;
61
 
62
-- interface to i/o
63
signal mpu_io_rd_data :     std_logic_vector(31 downto 0);
64
signal mpu_io_wr_data :     std_logic_vector(31 downto 0);
65
signal mpu_io_rd_addr :     std_logic_vector(31 downto 2);
66
signal mpu_io_wr_addr :     std_logic_vector(31 downto 2);
67
signal mpu_io_rd_vma :      std_logic;
68
signal mpu_io_byte_we :     std_logic_vector(3 downto 0);
69
 
70
-- interface to UARTs
71 87 ja_rd
signal uart_rd_word :       t_word;
72 55 ja_rd
signal uart_tx_rdy :        std_logic := '1';
73
signal uart_rx_rdy :        std_logic := '1';
74 87 ja_rd
signal uart_write :         std_logic;
75
signal uart_read :          std_logic;
76 55 ja_rd
signal uart_read_rx :       std_logic;
77 87 ja_rd
signal uart_data_rx :       std_logic_vector(7 downto 0);
78 55 ja_rd
 
79
 
80
-- Block ram
81
constant BRAM_SIZE : integer := @code_table_size@;
82
constant BRAM_ADDR_SIZE : integer := log2(BRAM_SIZE);
83
 
84 56 ja_rd
--type t_bram is array(0 to BRAM_SIZE-1) of std_logic_vector(7 downto 0);
85
type t_bram is array(0 to (BRAM_SIZE)-1) of t_word;
86 55 ja_rd
 
87
-- bram0 is LSB, bram3 is MSB
88 56 ja_rd
--signal bram3 :              t_bram := (@ code3@);
89
--signal bram2 :              t_bram := (@ code2@);
90
--signal bram1 :              t_bram := (@ code1@);
91
--signal bram0 :              t_bram := (@ code0@);
92 55 ja_rd
 
93 56 ja_rd
signal bram :               t_bram := (@code-32bit@);
94
 
95 55 ja_rd
subtype t_bram_address is std_logic_vector(BRAM_ADDR_SIZE-1 downto 0);
96
 
97
signal bram_rd_addr :       t_bram_address;
98
signal bram_wr_addr :       t_bram_address;
99
signal bram_rd_data :       t_word;
100
signal bram_wr_data :       t_word;
101
signal bram_byte_we :       std_logic_vector(3 downto 0);
102
 
103
 
104
--------------------------------------------------------------------------------
105
begin
106
 
107
cpu: entity work.mips_cpu
108
    port map (
109
        interrupt   => '0',
110
 
111
        data_rd_addr=> cpu_data_rd_addr,
112
        data_rd_vma => cpu_data_rd_vma,
113
        data_rd     => cpu_data_rd,
114
 
115
        code_rd_addr=> cpu_code_rd_addr,
116
        code_rd     => cpu_code_rd,
117
        code_rd_vma => cpu_code_rd_vma,
118
 
119
        data_wr_addr=> cpu_data_wr_addr,
120
        data_wr     => cpu_data_wr,
121
        byte_we     => cpu_byte_we,
122
 
123
        mem_wait    => cpu_mem_wait,
124
 
125
        clk         => clk,
126
        reset       => reset
127
    );
128
 
129
cache: entity work.mips_cache_stub
130
    generic map (
131
        BRAM_ADDR_SIZE => BRAM_ADDR_SIZE,
132
        SRAM_ADDR_SIZE => SRAM_ADDR_SIZE
133
    )
134
    port map (
135
        clk             => clk,
136
        reset           => reset,
137
 
138
        -- Interface to CPU core
139
        data_rd_addr    => cpu_data_rd_addr,
140
        data_rd         => cpu_data_rd,
141
        data_rd_vma     => cpu_data_rd_vma,
142
 
143
        code_rd_addr    => cpu_code_rd_addr,
144
        code_rd         => cpu_code_rd,
145
        code_rd_vma     => cpu_code_rd_vma,
146
 
147
        data_wr_addr    => cpu_data_wr_addr,
148
        byte_we         => cpu_byte_we,
149
        data_wr         => cpu_data_wr,
150
 
151
        mem_wait        => cpu_mem_wait,
152
        cache_enable    => '1',
153
 
154
        -- interface to FPGA i/o devices
155
        io_rd_data      => mpu_io_rd_data,
156
        io_wr_data      => mpu_io_wr_data,
157
        io_rd_addr      => mpu_io_rd_addr,
158
        io_wr_addr      => mpu_io_wr_addr,
159
        io_rd_vma       => mpu_io_rd_vma,
160
        io_byte_we      => mpu_io_byte_we,
161
 
162
        -- interface to synchronous 32-bit-wide FPGA BRAM
163
        bram_rd_data    => bram_rd_data,
164
        bram_wr_data    => bram_wr_data,
165
        bram_rd_addr    => bram_rd_addr,
166
        bram_wr_addr    => bram_wr_addr,
167
        bram_byte_we    => bram_byte_we,
168
 
169
        -- interface to asynchronous 16-bit-wide external SRAM
170
        sram_address    => sram_address,
171 77 ja_rd
        sram_data_rd    => sram_data_rd,
172
        sram_data_wr    => sram_data_wr,
173 55 ja_rd
        sram_byte_we_n  => sram_byte_we_n,
174
        sram_oe_n       => sram_oe_n
175
    );
176
 
177
 
178
--------------------------------------------------------------------------------
179
-- BRAM interface 
180
 
181
fpga_ram_block:
182
process(clk)
183
begin
184
    if clk'event and clk='1' then
185
 
186 56 ja_rd
        --bram_rd_data <= 
187
        --    bram3(conv_integer(unsigned(bram_rd_addr))) &
188
        --    bram2(conv_integer(unsigned(bram_rd_addr))) &
189
        --    bram1(conv_integer(unsigned(bram_rd_addr))) &
190
        --    bram0(conv_integer(unsigned(bram_rd_addr)));
191
        bram_rd_data <= bram(conv_integer(unsigned(bram_rd_addr)));
192 55 ja_rd
 
193
    end if;
194
end process fpga_ram_block;
195
 
196
 
197
--------------------------------------------------------------------------------
198
 
199
 
200
--------------------------------------------------------------------------------
201
 
202
serial_rx : entity work.rs232_rx
203
    port map(
204
        rxd =>      uart_rxd,
205 87 ja_rd
        data_rx =>  uart_data_rx,
206 55 ja_rd
        rx_rdy =>   uart_rx_rdy,
207 87 ja_rd
        read_rx =>  uart_read_rx,
208 55 ja_rd
        clk =>      clk,
209 59 ja_rd
        reset =>    reset
210 55 ja_rd
    );
211
 
212
 
213 87 ja_rd
-- '1'-> Read some UART register (0x2---0---)
214
uart_read <= '1'
215
    when mpu_io_rd_vma='1' and
216
         mpu_io_rd_addr(31 downto 28)=X"2" and
217
         mpu_io_rd_addr(15 downto 12)=X"0"
218
    else '0';
219
 
220
-- '1'-> Read UART Rx data (0x2---0-0-)
221
-- (This signal clears the RX 1-char buffer)
222
uart_read_rx <= '1'
223
    when uart_read='1' and
224
         mpu_io_rd_addr( 7 downto  4)=X"0"
225
    else '0';
226
 
227
-- '1'-> Write UART Tx register (trigger UART Tx)  (0x20000000)
228
uart_write <= '1'
229 65 ja_rd
    when mpu_io_byte_we/="0000" and
230
         mpu_io_wr_addr(31 downto 28)=X"2" and
231
         mpu_io_wr_addr(15 downto 12)=X"0"
232 55 ja_rd
    else '0';
233
 
234
serial_tx : entity work.rs232_tx
235
    port map(
236
        clk =>      clk,
237 59 ja_rd
        reset =>    reset,
238 55 ja_rd
        rdy =>      uart_tx_rdy,
239 87 ja_rd
        load =>     uart_write,
240 55 ja_rd
        data_i =>   mpu_io_wr_data(7 downto 0),
241
        txd =>      uart_txd
242
    );
243
 
244 87 ja_rd
-- Both UART rd addresses 000 and 020 read the same word (save a mux), but only
245
-- address 000 clears the rx buffer.
246
uart_rd_word <= uart_data_rx & X"00000" & "00" & uart_tx_rdy & uart_rx_rdy;
247 55 ja_rd
 
248 87 ja_rd
-- IO Rd mux: either the UART data/status word od the IO coming from outside
249 65 ja_rd
mpu_io_rd_data <=
250 87 ja_rd
    uart_rd_word when mpu_io_rd_addr(15 downto 12)=X"0" else
251 65 ja_rd
    io_rd_data;
252 55 ja_rd
 
253
-- io_rd_data 
254
io_rd_addr <= mpu_io_rd_addr;
255
io_wr_addr <= mpu_io_wr_addr;
256
io_wr_data <= mpu_io_wr_data;
257
io_rd_vma <= mpu_io_rd_vma;
258
io_byte_we <= mpu_io_byte_we;
259
 
260
 
261
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.