OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [tools/] [build_pkg/] [templates/] [obj_code_pkg_template.vhdl] - Blame information for rev 220

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 220 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- Built for project '@project_name@'.
5
--------------------------------------------------------------------------------
6
-- This file contains object code in the form of a VHDL byte table constant.
7
-- This constant can be used to initialize FPGA memories for synthesis or
8
-- simulation.
9
-- Note that the object code is stored as a plain byte table in byte address
10
-- order. This table knows nothing of data endianess and can be used to 
11
-- initialize 32-, 16- or 8-bit-wide memory -- memory initialization functions 
12
-- can be found in package mips_pkg.
13
--------------------------------------------------------------------------------
14
-- Copyright (C) 2012 Jose A. Ruiz
15
--                                                              
16
-- This source file may be used and distributed without         
17
-- restriction provided that this copyright statement is not    
18
-- removed from the file and that any derivative work contains  
19
-- the original copyright notice and the associated disclaimer. 
20
--                                                              
21
-- This source file is free software; you can redistribute it   
22
-- and/or modify it under the terms of the GNU Lesser General   
23
-- Public License as published by the Free Software Foundation; 
24
-- either version 2.1 of the License, or (at your option) any   
25
-- later version.                                               
26
--                                                              
27
-- This source is distributed in the hope that it will be       
28
-- useful, but WITHOUT ANY WARRANTY; without even the implied   
29
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
30
-- PURPOSE.  See the GNU Lesser General Public License for more 
31
-- details.                                                     
32
--                                                              
33
-- You should have received a copy of the GNU Lesser General    
34
-- Public License along with this source; if not, download it   
35
-- from http://www.opencores.org/lgpl.shtml
36
--------------------------------------------------------------------------------
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
use work.mips_pkg.all;
42
 
43
package @obj_pkg_name@ is
44
 
45
-- Hardcoded simulation parameters ---------------------------------------------
46
 
47
-- Simulation clock rate
48
constant CLOCK_RATE : integer   := 50e6;
49
-- Simulation clock period
50
constant T : time               := (1.0e9/real(CLOCK_RATE)) * 1 ns;
51
 
52
-- Other simulation parameters -------------------------------------------------
53
 
54
@constants@
55
 
56
-- Memory initialization data --------------------------------------------------
57
 
58
@obj_tables@
59
 
60
end package @obj_pkg_name@;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.