OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [SoC/] [bootstrap_code_pkg.vhdl] - Blame information for rev 233

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 226 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- Built for project 'Hello World'.
5
--------------------------------------------------------------------------------
6
-- This file contains object code in the form of a VHDL byte table constant.
7
-- This constant can be used to initialize FPGA memories for synthesis or
8
-- simulation.
9
-- Note that the object code is stored as a plain byte table in byte address
10
-- order. This table knows nothing of data endianess and can be used to
11
-- initialize 32-, 16- or 8-bit-wide memory -- memory initialization functions
12
-- can be found in package mips_pkg.
13
--------------------------------------------------------------------------------
14
-- Copyright (C) 2012 Jose A. Ruiz
15
--
16
-- This source file may be used and distributed without
17
-- restriction provided that this copyright statement is not
18
-- removed from the file and that any derivative work contains
19
-- the original copyright notice and the associated disclaimer.
20
--
21
-- This source file is free software; you can redistribute it
22
-- and/or modify it under the terms of the GNU Lesser General
23
-- Public License as published by the Free Software Foundation;
24
-- either version 2.1 of the License, or (at your option) any
25
-- later version.
26
--
27
-- This source is distributed in the hope that it will be
28
-- useful, but WITHOUT ANY WARRANTY; without even the implied
29
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
30
-- PURPOSE.  See the GNU Lesser General Public License for more
31
-- details.
32
--
33
-- You should have received a copy of the GNU Lesser General
34
-- Public License along with this source; if not, download it
35
-- from http://www.opencores.org/lgpl.shtml
36
--------------------------------------------------------------------------------
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
use work.mips_pkg.all;
42
 
43
package obj_code_pkg is
44
 
45
-- Hardcoded simulation parameters ---------------------------------------------
46
 
47
-- Simulation clock rate
48
constant CLOCK_RATE : integer   := 50e6;
49
-- Simulation clock period
50
constant T : time               := (1.0e9/real(CLOCK_RATE)) * 1 ns;
51
 
52
-- Other simulation parameters -------------------------------------------------
53
 
54
constant BRAM_SIZE : integer := 2048;
55
 
56
 
57
-- Memory initialization data --------------------------------------------------
58
 
59 233 ja_rd
constant obj_code : t_obj_code(0 to 3679) := (
60 226 ja_rd
  X"10", X"00", X"00", X"7c", X"00", X"00", X"00", X"00",
61
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
62
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
63
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
64
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
65
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
66
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
67
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
68
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
69
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
70
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
71
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
72
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
73
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
74
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
75
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
76
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
77
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
78
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
79
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
80
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
81
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
82
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
83
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
84
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
85
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
86
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
87
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
88
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
89
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
90
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
91
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
92
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
93
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
94
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
95
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
96
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
97
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
98
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
99
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
100
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
101
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
102
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
103
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
104
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
105
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
106
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
107
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
108
  X"40", X"1a", X"68", X"00", X"00", X"1a", X"d0", X"82",
109
  X"33", X"5a", X"00", X"1f", X"34", X"1b", X"00", X"08",
110
  X"13", X"5b", X"00", X"09", X"23", X"7b", X"00", X"01",
111
  X"13", X"5b", X"00", X"05", X"23", X"7b", X"00", X"01",
112
  X"17", X"5b", X"00", X"07", X"00", X"00", X"00", X"00",
113
  X"0b", X"f0", X"00", X"a2", X"00", X"00", X"00", X"00",
114
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
115
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
116
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
117
  X"40", X"1b", X"70", X"00", X"40", X"1a", X"68", X"00",
118
  X"00", X"1a", X"d7", X"c2", X"33", X"5a", X"00", X"01",
119
  X"17", X"40", X"00", X"03", X"23", X"7b", X"00", X"04",
120
  X"03", X"60", X"00", X"08", X"00", X"00", X"00", X"00",
121
  X"23", X"7b", X"00", X"04", X"03", X"60", X"00", X"08",
122
  X"42", X"00", X"00", X"10", X"40", X"04", X"60", X"00",
123
  X"30", X"84", X"ff", X"fe", X"40", X"84", X"60", X"00",
124
  X"0f", X"f0", X"00", X"86", X"00", X"00", X"00", X"00",
125
  X"3c", X"04", X"bf", X"c0", X"24", X"84", X"06", X"b4",
126
  X"00", X"80", X"00", X"08", X"00", X"00", X"00", X"00",
127
  X"3c", X"05", X"00", X"01", X"40", X"04", X"60", X"00",
128
  X"30", X"84", X"ff", X"ff", X"00", X"85", X"28", X"25",
129
  X"40", X"85", X"60", X"00", X"24", X"04", X"00", X"00",
130
  X"24", X"06", X"00", X"00", X"24", X"05", X"00", X"ff",
131
  X"ac", X"86", X"00", X"00", X"00", X"c5", X"08", X"2a",
132
  X"14", X"20", X"ff", X"fd", X"20", X"c6", X"00", X"01",
133
  X"24", X"04", X"00", X"00", X"24", X"06", X"00", X"00",
134
  X"24", X"05", X"00", X"ff", X"8c", X"80", X"00", X"00",
135
  X"20", X"84", X"00", X"10", X"00", X"c5", X"08", X"2a",
136
  X"14", X"20", X"ff", X"fc", X"20", X"c6", X"00", X"01",
137
  X"3c", X"05", X"00", X"02", X"40", X"04", X"60", X"00",
138
  X"30", X"84", X"ff", X"ff", X"00", X"85", X"28", X"25",
139
  X"03", X"e0", X"00", X"08", X"40", X"85", X"60", X"00",
140
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
141
  X"3c", X"1b", X"00", X"00", X"27", X"7b", X"00", X"3c",
142
  X"af", X"7d", X"ff", X"f0", X"af", X"7f", X"ff", X"ec",
143
  X"af", X"68", X"ff", X"e8", X"af", X"69", X"ff", X"e4",
144
  X"af", X"6a", X"ff", X"e0", X"03", X"60", X"e8", X"21",
145
  X"40", X"08", X"70", X"00", X"8d", X"1a", X"00", X"00",
146
  X"40", X"1b", X"68", X"00", X"07", X"70", X"00", X"2d",
147
  X"00", X"00", X"00", X"00", X"00", X"1a", X"4e", X"82",
148
  X"39", X"28", X"00", X"1f", X"11", X"00", X"00", X"1f",
149
  X"39", X"28", X"00", X"1c", X"11", X"00", X"00", X"13",
150
  X"00", X"00", X"00", X"00", X"3c", X"08", X"20", X"01",
151
  X"ad", X"1a", X"04", X"00", X"8f", X"aa", X"ff", X"e0",
152
  X"8f", X"a9", X"ff", X"e4", X"8f", X"a8", X"ff", X"e8",
153
  X"8f", X"bf", X"ff", X"ec", X"8f", X"bd", X"ff", X"f0",
154
  X"40", X"1b", X"70", X"00", X"40", X"1a", X"68", X"00",
155
  X"00", X"1a", X"d7", X"c2", X"33", X"5a", X"00", X"01",
156
  X"17", X"40", X"00", X"03", X"23", X"7b", X"00", X"04",
157
  X"03", X"60", X"00", X"08", X"00", X"00", X"00", X"00",
158
  X"23", X"7b", X"00", X"04", X"03", X"60", X"00", X"08",
159
  X"42", X"00", X"00", X"10", X"33", X"5b", X"00", X"3f",
160
  X"3b", X"68", X"00", X"20", X"11", X"00", X"00", X"14",
161
  X"3b", X"68", X"00", X"21", X"11", X"00", X"00", X"1c",
162
  X"00", X"00", X"00", X"00", X"3c", X"08", X"20", X"01",
163
  X"ad", X"1a", X"04", X"00", X"0b", X"f0", X"00", X"b7",
164
  X"00", X"00", X"00", X"00", X"33", X"5b", X"00", X"3f",
165
  X"3b", X"68", X"00", X"00", X"11", X"00", X"00", X"1e",
166
  X"3b", X"68", X"00", X"04", X"11", X"00", X"00", X"29",
167
  X"00", X"00", X"00", X"00", X"3c", X"08", X"20", X"01",
168
  X"ad", X"1a", X"04", X"00", X"0b", X"f0", X"00", X"b7",
169
  X"00", X"00", X"00", X"00", X"8d", X"1a", X"00", X"04",
170
  X"03", X"e0", X"00", X"08", X"00", X"00", X"00", X"00",
171
  X"0f", X"f0", X"01", X"61", X"3c", X"0a", X"80", X"00",
172
  X"00", X"00", X"40", X"21", X"03", X"6a", X"48", X"24",
173
  X"15", X"20", X"00", X"03", X"00", X"0a", X"50", X"42",
174
  X"15", X"40", X"ff", X"fc", X"25", X"08", X"00", X"01",
175
  X"0b", X"f0", X"01", X"17", X"01", X"00", X"d8", X"21",
176
  X"0f", X"f0", X"01", X"61", X"3c", X"0a", X"80", X"00",
177
  X"00", X"00", X"40", X"21", X"03", X"6a", X"48", X"24",
178
  X"11", X"20", X"00", X"03", X"00", X"0a", X"50", X"42",
179
  X"15", X"40", X"ff", X"fc", X"25", X"08", X"00", X"01",
180
  X"0b", X"f0", X"01", X"17", X"01", X"00", X"d8", X"21",
181
  X"0f", X"f0", X"01", X"61", X"00", X"00", X"00", X"00",
182
  X"00", X"1a", X"41", X"82", X"31", X"08", X"00", X"1f",
183
  X"00", X"1a", X"4a", X"c2", X"31", X"29", X"00", X"1f",
184
  X"01", X"09", X"50", X"21", X"00", X"0a", X"50", X"23",
185
  X"25", X"4a", X"00", X"1f", X"01", X"5b", X"d8", X"04",
186
  X"01", X"5b", X"d8", X"06", X"0b", X"f0", X"01", X"17",
187
  X"01", X"1b", X"d8", X"06", X"0f", X"f0", X"01", X"61",
188
  X"00", X"00", X"00", X"00", X"00", X"1a", X"41", X"82",
189
  X"31", X"08", X"00", X"1f", X"00", X"1a", X"4a", X"c2",
190
  X"31", X"29", X"00", X"1f", X"01", X"28", X"48", X"23",
191
  X"00", X"09", X"58", X"23", X"25", X"6b", X"00", X"1f",
192
  X"01", X"1b", X"48", X"04", X"3c", X"0a", X"ff", X"ff",
193
  X"35", X"4a", X"ff", X"ff", X"01", X"6a", X"50", X"04",
194
  X"01", X"6a", X"50", X"06", X"01", X"0a", X"50", X"04",
195
  X"01", X"2a", X"48", X"24", X"01", X"40", X"50", X"27",
196
  X"0f", X"f0", X"01", X"61", X"00", X"1a", X"d1", X"40",
197
  X"00", X"1a", X"d1", X"42", X"03", X"6a", X"d8", X"24",
198
  X"03", X"69", X"d8", X"25", X"0b", X"f0", X"01", X"17",
199
  X"00", X"00", X"00", X"00", X"00", X"1a", X"4c", X"02",
200
  X"31", X"29", X"00", X"1f", X"3c", X"08", X"bf", X"c0",
201
  X"25", X"08", X"04", X"84", X"00", X"09", X"48", X"c0",
202
  X"01", X"09", X"40", X"20", X"01", X"00", X"00", X"08",
203
  X"00", X"00", X"00", X"00", X"0b", X"f0", X"00", X"b7",
204
  X"00", X"00", X"00", X"00", X"0b", X"f0", X"01", X"1f",
205
  X"37", X"60", X"00", X"00", X"0b", X"f0", X"01", X"1f",
206
  X"37", X"61", X"00", X"00", X"0b", X"f0", X"01", X"1f",
207
  X"37", X"62", X"00", X"00", X"0b", X"f0", X"01", X"1f",
208
  X"37", X"63", X"00", X"00", X"0b", X"f0", X"01", X"1f",
209
  X"37", X"64", X"00", X"00", X"0b", X"f0", X"01", X"1f",
210
  X"37", X"65", X"00", X"00", X"0b", X"f0", X"01", X"1f",
211
  X"37", X"66", X"00", X"00", X"0b", X"f0", X"01", X"1f",
212
  X"37", X"67", X"00", X"00", X"0b", X"f0", X"01", X"1f",
213
  X"af", X"bb", X"ff", X"e8", X"0b", X"f0", X"01", X"1f",
214
  X"af", X"bb", X"ff", X"e4", X"0b", X"f0", X"01", X"1f",
215
  X"af", X"bb", X"ff", X"e0", X"0b", X"f0", X"01", X"1f",
216
  X"37", X"6b", X"00", X"00", X"0b", X"f0", X"01", X"1f",
217
  X"37", X"6c", X"00", X"00", X"0b", X"f0", X"01", X"1f",
218
  X"37", X"6d", X"00", X"00", X"0b", X"f0", X"01", X"1f",
219
  X"37", X"6e", X"00", X"00", X"0b", X"f0", X"01", X"1f",
220
  X"37", X"6f", X"00", X"00", X"0b", X"f0", X"01", X"1f",
221
  X"37", X"70", X"00", X"00", X"0b", X"f0", X"01", X"1f",
222
  X"37", X"71", X"00", X"00", X"0b", X"f0", X"01", X"1f",
223
  X"37", X"72", X"00", X"00", X"0b", X"f0", X"01", X"1f",
224
  X"37", X"73", X"00", X"00", X"0b", X"f0", X"01", X"1f",
225
  X"37", X"74", X"00", X"00", X"0b", X"f0", X"01", X"1f",
226
  X"37", X"75", X"00", X"00", X"0b", X"f0", X"01", X"1f",
227
  X"37", X"76", X"00", X"00", X"0b", X"f0", X"01", X"1f",
228
  X"37", X"77", X"00", X"00", X"0b", X"f0", X"01", X"1f",
229
  X"37", X"78", X"00", X"00", X"0b", X"f0", X"01", X"1f",
230
  X"37", X"79", X"00", X"00", X"0b", X"f0", X"01", X"1f",
231
  X"37", X"7a", X"00", X"00", X"0b", X"f0", X"01", X"1f",
232
  X"37", X"7b", X"00", X"00", X"0b", X"f0", X"01", X"1f",
233
  X"37", X"7c", X"00", X"00", X"0b", X"f0", X"01", X"1f",
234
  X"af", X"bb", X"ff", X"ec", X"0b", X"f0", X"01", X"1f",
235
  X"37", X"7e", X"00", X"00", X"0b", X"f0", X"01", X"1f",
236
  X"af", X"bb", X"ff", X"f0", X"af", X"bf", X"00", X"00",
237
  X"00", X"1a", X"dd", X"42", X"33", X"7b", X"00", X"1f",
238
  X"3c", X"08", X"bf", X"c0", X"25", X"08", X"05", X"b4",
239
  X"00", X"1b", X"d8", X"c0", X"01", X"1b", X"40", X"20",
240
  X"01", X"00", X"f8", X"09", X"00", X"00", X"00", X"00",
241
  X"8f", X"bf", X"00", X"00", X"03", X"e0", X"00", X"08",
242
  X"00", X"00", X"00", X"00", X"03", X"e0", X"00", X"08",
243
  X"34", X"1b", X"00", X"00", X"03", X"e0", X"00", X"08",
244
  X"34", X"3b", X"00", X"00", X"03", X"e0", X"00", X"08",
245
  X"34", X"5b", X"00", X"00", X"03", X"e0", X"00", X"08",
246
  X"34", X"7b", X"00", X"00", X"03", X"e0", X"00", X"08",
247
  X"34", X"9b", X"00", X"00", X"03", X"e0", X"00", X"08",
248
  X"34", X"bb", X"00", X"00", X"03", X"e0", X"00", X"08",
249
  X"34", X"db", X"00", X"00", X"03", X"e0", X"00", X"08",
250
  X"34", X"fb", X"00", X"00", X"03", X"e0", X"00", X"08",
251
  X"8f", X"bb", X"ff", X"e8", X"03", X"e0", X"00", X"08",
252
  X"8f", X"bb", X"ff", X"e4", X"03", X"e0", X"00", X"08",
253
  X"8f", X"bb", X"ff", X"e0", X"03", X"e0", X"00", X"08",
254
  X"35", X"7b", X"00", X"00", X"03", X"e0", X"00", X"08",
255
  X"35", X"9b", X"00", X"00", X"03", X"e0", X"00", X"08",
256
  X"35", X"bb", X"00", X"00", X"03", X"e0", X"00", X"08",
257
  X"35", X"db", X"00", X"00", X"03", X"e0", X"00", X"08",
258
  X"35", X"fb", X"00", X"00", X"03", X"e0", X"00", X"08",
259
  X"36", X"1b", X"00", X"00", X"03", X"e0", X"00", X"08",
260
  X"36", X"3b", X"00", X"00", X"03", X"e0", X"00", X"08",
261
  X"36", X"5b", X"00", X"00", X"03", X"e0", X"00", X"08",
262
  X"36", X"7b", X"00", X"00", X"03", X"e0", X"00", X"08",
263
  X"36", X"9b", X"00", X"00", X"03", X"e0", X"00", X"08",
264
  X"36", X"bb", X"00", X"00", X"03", X"e0", X"00", X"08",
265
  X"36", X"db", X"00", X"00", X"03", X"e0", X"00", X"08",
266
  X"36", X"fb", X"00", X"00", X"03", X"e0", X"00", X"08",
267
  X"37", X"1b", X"00", X"00", X"03", X"e0", X"00", X"08",
268
  X"37", X"3b", X"00", X"00", X"03", X"e0", X"00", X"08",
269
  X"37", X"5b", X"00", X"00", X"03", X"e0", X"00", X"08",
270
  X"37", X"7b", X"00", X"00", X"03", X"e0", X"00", X"08",
271
  X"37", X"9a", X"00", X"00", X"03", X"e0", X"00", X"08",
272
  X"8f", X"bb", X"ff", X"f0", X"03", X"e0", X"00", X"08",
273
  X"37", X"db", X"00", X"00", X"03", X"e0", X"00", X"08",
274
  X"8f", X"bb", X"ff", X"ec", X"3c", X"1c", X"00", X"00",
275
  X"27", X"9c", X"7f", X"f0", X"3c", X"05", X"00", X"00",
276
  X"24", X"a5", X"00", X"00", X"3c", X"04", X"00", X"00",
277
  X"24", X"84", X"00", X"00", X"3c", X"1d", X"00", X"00",
278
  X"27", X"bd", X"04", X"28", X"ac", X"a0", X"00", X"00",
279
  X"00", X"a4", X"18", X"2a", X"14", X"60", X"ff", X"fd",
280
  X"24", X"a5", X"00", X"04", X"3c", X"04", X"00", X"00",
281
  X"24", X"84", X"00", X"00", X"3c", X"05", X"bf", X"c0",
282
  X"24", X"a5", X"0e", X"60", X"10", X"a4", X"00", X"0b",
283
  X"00", X"00", X"00", X"00", X"3c", X"10", X"00", X"00",
284
  X"26", X"10", X"00", X"00", X"12", X"00", X"00", X"07",
285
  X"00", X"00", X"00", X"00", X"8c", X"a8", X"00", X"00",
286
  X"24", X"a5", X"00", X"04", X"ac", X"88", X"00", X"00",
287
  X"24", X"84", X"00", X"04", X"16", X"00", X"ff", X"fb",
288
  X"26", X"10", X"ff", X"fc", X"0f", X"f0", X"01", X"cd",
289
  X"00", X"00", X"00", X"00", X"0b", X"f0", X"01", X"cb",
290
  X"00", X"00", X"00", X"00", X"3c", X"04", X"bf", X"c0",
291
  X"27", X"bd", X"ff", X"e8", X"af", X"bf", X"00", X"14",
292
  X"0f", X"f0", X"03", X"40", X"24", X"84", X"0e", X"04",
293
  X"3c", X"04", X"bf", X"c0", X"0f", X"f0", X"03", X"40",
294
  X"24", X"84", X"0e", X"2c", X"3c", X"04", X"bf", X"c0",
295
  X"8f", X"bf", X"00", X"14", X"24", X"84", X"0e", X"44",
296
  X"0b", X"f0", X"03", X"40", X"27", X"bd", X"00", X"18",
297
  X"10", X"80", X"00", X"09", X"00", X"00", X"00", X"00",
298
  X"8c", X"82", X"00", X"00", X"00", X"00", X"00", X"00",
299
  X"a0", X"45", X"00", X"00", X"8c", X"82", X"00", X"00",
300
  X"00", X"00", X"00", X"00", X"24", X"42", X"00", X"01",
301
  X"03", X"e0", X"00", X"08", X"ac", X"82", X"00", X"00",
302
  X"0b", X"f0", X"03", X"6e", X"00", X"a0", X"20", X"21",
303
  X"27", X"bd", X"ff", X"d8", X"af", X"b2", X"00", X"18",
304
  X"af", X"b1", X"00", X"14", X"af", X"b0", X"00", X"10",
305
  X"af", X"bf", X"00", X"24", X"af", X"b4", X"00", X"20",
306
  X"af", X"b3", X"00", X"1c", X"00", X"c0", X"90", X"21",
307
  X"00", X"80", X"88", X"21", X"18", X"c0", X"00", X"32",
308
  X"00", X"a0", X"80", X"21", X"90", X"a2", X"00", X"00",
309
  X"00", X"00", X"00", X"00", X"10", X"40", X"00", X"3d",
310
  X"00", X"a0", X"10", X"21", X"00", X"00", X"18", X"21",
311
  X"24", X"42", X"00", X"01", X"90", X"44", X"00", X"00",
312
  X"00", X"00", X"00", X"00", X"14", X"80", X"ff", X"fc",
313
  X"24", X"63", X"00", X"01", X"00", X"72", X"10", X"2a",
314
  X"14", X"40", X"00", X"02", X"02", X"43", X"90", X"23",
315
  X"00", X"00", X"90", X"21", X"30", X"e2", X"00", X"02",
316
  X"10", X"40", X"00", X"22", X"30", X"e7", X"00", X"01",
317
  X"10", X"e0", X"00", X"22", X"24", X"14", X"00", X"30",
318
  X"00", X"00", X"98", X"21", X"92", X"05", X"00", X"00",
319
  X"00", X"00", X"00", X"00", X"10", X"a0", X"00", X"08",
320
  X"00", X"00", X"00", X"00", X"02", X"20", X"20", X"21",
321
  X"0f", X"f0", X"01", X"da", X"26", X"10", X"00", X"01",
322
  X"92", X"05", X"00", X"00", X"00", X"00", X"00", X"00",
323
  X"14", X"a0", X"ff", X"fa", X"26", X"73", X"00", X"01",
324
  X"1a", X"40", X"00", X"08", X"02", X"40", X"80", X"21",
325
  X"26", X"10", X"ff", X"ff", X"02", X"20", X"20", X"21",
326
  X"0f", X"f0", X"01", X"da", X"02", X"80", X"28", X"21",
327
  X"16", X"00", X"ff", X"fc", X"26", X"10", X"ff", X"ff",
328
  X"02", X"72", X"98", X"21", X"8f", X"bf", X"00", X"24",
329
  X"02", X"60", X"10", X"21", X"8f", X"b4", X"00", X"20",
330
  X"8f", X"b3", X"00", X"1c", X"8f", X"b2", X"00", X"18",
331
  X"8f", X"b1", X"00", X"14", X"8f", X"b0", X"00", X"10",
332
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"28",
333
  X"30", X"e7", X"00", X"01", X"14", X"e0", X"ff", X"e0",
334
  X"24", X"14", X"00", X"20", X"1a", X"40", X"ff", X"de",
335
  X"02", X"40", X"98", X"21", X"26", X"73", X"ff", X"ff",
336
  X"02", X"20", X"20", X"21", X"0f", X"f0", X"01", X"da",
337
  X"02", X"80", X"28", X"21", X"16", X"60", X"ff", X"fc",
338
  X"26", X"73", X"ff", X"ff", X"26", X"73", X"00", X"01",
339
  X"02", X"40", X"98", X"21", X"0b", X"f0", X"02", X"05",
340
  X"00", X"00", X"90", X"21", X"00", X"00", X"18", X"21",
341
  X"0b", X"f0", X"01", X"ff", X"02", X"43", X"90", X"23",
342
  X"27", X"bd", X"ff", X"c8", X"af", X"b4", X"00", X"30",
343
  X"af", X"b2", X"00", X"28", X"af", X"b1", X"00", X"24",
344
  X"af", X"bf", X"00", X"34", X"af", X"b3", X"00", X"2c",
345
  X"af", X"b0", X"00", X"20", X"00", X"a0", X"10", X"21",
346
  X"8f", X"b4", X"00", X"48", X"8f", X"b2", X"00", X"4c",
347
  X"10", X"a0", X"00", X"3f", X"00", X"80", X"88", X"21",
348
  X"14", X"e0", X"00", X"31", X"24", X"03", X"00", X"0a",
349
  X"00", X"00", X"38", X"21", X"10", X"40", X"00", X"49",
350
  X"a3", X"a0", X"00", X"1b", X"8f", X"a5", X"00", X"50",
351
  X"27", X"b3", X"00", X"1b", X"24", X"a5", X"ff", X"c6",
352
  X"14", X"c0", X"00", X"02", X"00", X"46", X"00", X"1b",
353
  X"00", X"07", X"00", X"0d", X"00", X"00", X"18", X"10",
354
  X"28", X"64", X"00", X"0a", X"00", X"00", X"00", X"00",
355
  X"14", X"c0", X"00", X"02", X"00", X"46", X"00", X"1b",
356
  X"00", X"07", X"00", X"0d", X"00", X"00", X"10", X"12",
357
  X"14", X"80", X"00", X"02", X"26", X"73", X"ff", X"ff",
358
  X"00", X"65", X"18", X"21", X"24", X"63", X"00", X"30",
359
  X"14", X"40", X"ff", X"f1", X"a2", X"63", X"00", X"00",
360
  X"14", X"e0", X"00", X"10", X"00", X"00", X"00", X"00",
361
  X"00", X"00", X"80", X"21", X"02", X"20", X"20", X"21",
362
  X"02", X"60", X"28", X"21", X"02", X"80", X"30", X"21",
363
  X"0f", X"f0", X"01", X"e6", X"02", X"40", X"38", X"21",
364
  X"8f", X"bf", X"00", X"34", X"00", X"50", X"10", X"21",
365
  X"8f", X"b4", X"00", X"30", X"8f", X"b3", X"00", X"2c",
366
  X"8f", X"b2", X"00", X"28", X"8f", X"b1", X"00", X"24",
367
  X"8f", X"b0", X"00", X"20", X"03", X"e0", X"00", X"08",
368
  X"27", X"bd", X"00", X"38", X"12", X"80", X"00", X"0f",
369
  X"32", X"42", X"00", X"02", X"10", X"40", X"00", X"0d",
370
  X"02", X"20", X"20", X"21", X"0f", X"f0", X"01", X"da",
371
  X"24", X"05", X"00", X"2d", X"26", X"94", X"ff", X"ff",
372
  X"0b", X"f0", X"02", X"5b", X"24", X"10", X"00", X"01",
373
  X"14", X"c3", X"ff", X"d0", X"00", X"00", X"38", X"21",
374
  X"04", X"a1", X"ff", X"ce", X"00", X"00", X"00", X"00",
375
  X"00", X"05", X"10", X"23", X"0b", X"f0", X"02", X"43",
376
  X"24", X"07", X"00", X"01", X"26", X"73", X"ff", X"ff",
377
  X"24", X"02", X"00", X"2d", X"a2", X"62", X"00", X"00",
378
  X"0b", X"f0", X"02", X"5b", X"00", X"00", X"80", X"21",
379
  X"27", X"a5", X"00", X"10", X"02", X"80", X"30", X"21",
380
  X"02", X"40", X"38", X"21", X"24", X"02", X"00", X"30",
381
  X"a3", X"a2", X"00", X"10", X"0f", X"f0", X"01", X"e6",
382
  X"a3", X"a0", X"00", X"11", X"8f", X"bf", X"00", X"34",
383
  X"8f", X"b4", X"00", X"30", X"8f", X"b3", X"00", X"2c",
384
  X"8f", X"b2", X"00", X"28", X"8f", X"b1", X"00", X"24",
385
  X"8f", X"b0", X"00", X"20", X"03", X"e0", X"00", X"08",
386
  X"27", X"bd", X"00", X"38", X"10", X"e0", X"ff", X"cc",
387
  X"27", X"b3", X"00", X"1b", X"0b", X"f0", X"02", X"69",
388
  X"00", X"00", X"00", X"00", X"27", X"bd", X"ff", X"b8",
389
  X"af", X"b4", X"00", X"38", X"af", X"b0", X"00", X"28",
390
  X"af", X"bf", X"00", X"44", X"af", X"b6", X"00", X"40",
391
  X"af", X"b5", X"00", X"3c", X"af", X"b3", X"00", X"34",
392
  X"af", X"b2", X"00", X"30", X"af", X"b1", X"00", X"2c",
393
  X"00", X"a0", X"80", X"21", X"90", X"a5", X"00", X"00",
394
  X"00", X"80", X"a0", X"21", X"10", X"a0", X"00", X"a0",
395
  X"af", X"a6", X"00", X"50", X"00", X"00", X"90", X"21",
396
  X"24", X"13", X"00", X"25", X"24", X"15", X"00", X"2d",
397
  X"24", X"11", X"00", X"30", X"3c", X"16", X"bf", X"c0",
398
  X"14", X"b3", X"00", X"53", X"00", X"00", X"00", X"00",
399
  X"26", X"10", X"00", X"01", X"92", X"05", X"00", X"00",
400
  X"00", X"00", X"00", X"00", X"10", X"a0", X"00", X"3e",
401
  X"00", X"00", X"00", X"00", X"10", X"b3", X"00", X"4c",
402
  X"00", X"00", X"00", X"00", X"10", X"b5", X"00", X"54",
403
  X"00", X"00", X"00", X"00", X"00", X"00", X"18", X"21",
404
  X"14", X"b1", X"00", X"07", X"24", X"a2", X"ff", X"d0",
405
  X"26", X"10", X"00", X"01", X"92", X"05", X"00", X"00",
406
  X"00", X"00", X"00", X"00", X"10", X"b1", X"ff", X"fc",
407
  X"34", X"63", X"00", X"02", X"24", X"a2", X"ff", X"d0",
408
  X"30", X"42", X"00", X"ff", X"2c", X"42", X"00", X"0a",
409
  X"10", X"40", X"00", X"0d", X"00", X"00", X"10", X"21",
410
  X"00", X"02", X"20", X"40", X"00", X"02", X"10", X"c0",
411
  X"00", X"82", X"10", X"21", X"26", X"10", X"00", X"01",
412
  X"00", X"45", X"10", X"21", X"92", X"05", X"00", X"00",
413
  X"00", X"00", X"00", X"00", X"24", X"a4", X"ff", X"d0",
414
  X"30", X"84", X"00", X"ff", X"2c", X"84", X"00", X"0a",
415
  X"14", X"80", X"ff", X"f5", X"24", X"42", X"ff", X"d0",
416
  X"24", X"04", X"00", X"73", X"10", X"a4", X"00", X"3c",
417
  X"24", X"04", X"00", X"64", X"10", X"a4", X"00", X"46",
418
  X"02", X"80", X"20", X"21", X"24", X"04", X"00", X"78",
419
  X"10", X"a4", X"00", X"51", X"02", X"80", X"20", X"21",
420
  X"24", X"04", X"00", X"58", X"10", X"a4", X"00", X"55",
421
  X"02", X"80", X"20", X"21", X"24", X"04", X"00", X"75",
422
  X"10", X"a4", X"00", X"60", X"02", X"80", X"20", X"21",
423
  X"24", X"04", X"00", X"63", X"14", X"a4", X"00", X"24",
424
  X"26", X"10", X"00", X"01", X"8f", X"a9", X"00", X"50",
425
  X"27", X"a5", X"00", X"20", X"8d", X"28", X"00", X"00",
426
  X"02", X"80", X"20", X"21", X"25", X"29", X"00", X"04",
427
  X"00", X"40", X"30", X"21", X"00", X"60", X"38", X"21",
428
  X"af", X"a9", X"00", X"50", X"a3", X"a8", X"00", X"20",
429
  X"0f", X"f0", X"01", X"e6", X"a3", X"a0", X"00", X"21",
430
  X"92", X"05", X"00", X"00", X"00", X"00", X"00", X"00",
431
  X"14", X"a0", X"ff", X"bd", X"02", X"42", X"90", X"21",
432
  X"12", X"80", X"00", X"04", X"00", X"00", X"00", X"00",
433
  X"8e", X"82", X"00", X"00", X"00", X"00", X"00", X"00",
434
  X"a0", X"40", X"00", X"00", X"8f", X"bf", X"00", X"44",
435
  X"02", X"40", X"10", X"21", X"8f", X"b6", X"00", X"40",
436
  X"8f", X"b5", X"00", X"3c", X"8f", X"b4", X"00", X"38",
437
  X"8f", X"b3", X"00", X"34", X"8f", X"b2", X"00", X"30",
438
  X"8f", X"b1", X"00", X"2c", X"8f", X"b0", X"00", X"28",
439
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"48",
440
  X"0f", X"f0", X"01", X"da", X"02", X"80", X"20", X"21",
441
  X"26", X"52", X"00", X"01", X"26", X"10", X"00", X"01",
442
  X"92", X"05", X"00", X"00", X"00", X"00", X"00", X"00",
443
  X"14", X"a0", X"ff", X"a5", X"00", X"00", X"00", X"00",
444
  X"0b", X"f0", X"02", X"e8", X"00", X"00", X"00", X"00",
445
  X"26", X"10", X"00", X"01", X"92", X"05", X"00", X"00",
446
  X"0b", X"f0", X"02", X"b0", X"24", X"03", X"00", X"01",
447
  X"8f", X"a4", X"00", X"50", X"00", X"00", X"00", X"00",
448
  X"8c", X"85", X"00", X"00", X"24", X"84", X"00", X"04",
449
  X"10", X"a0", X"00", X"31", X"af", X"a4", X"00", X"50",
450
  X"02", X"80", X"20", X"21", X"00", X"40", X"30", X"21",
451
  X"0f", X"f0", X"01", X"e6", X"00", X"60", X"38", X"21",
452
  X"0b", X"f0", X"02", X"fb", X"02", X"42", X"90", X"21",
453
  X"8f", X"a8", X"00", X"50", X"00", X"00", X"00", X"00",
454
  X"8d", X"05", X"00", X"00", X"24", X"06", X"00", X"0a",
455
  X"25", X"08", X"00", X"04", X"24", X"07", X"00", X"01",
456
  X"af", X"a2", X"00", X"10", X"24", X"02", X"00", X"61",
457
  X"af", X"a8", X"00", X"50", X"af", X"a3", X"00", X"14",
458
  X"0f", X"f0", X"02", X"34", X"af", X"a2", X"00", X"18",
459
  X"0b", X"f0", X"02", X"fb", X"02", X"42", X"90", X"21",
460
  X"8f", X"a8", X"00", X"50", X"00", X"00", X"00", X"00",
461
  X"8d", X"05", X"00", X"00", X"24", X"06", X"00", X"10",
462
  X"25", X"08", X"00", X"04", X"0b", X"f0", X"03", X"18",
463
  X"00", X"00", X"38", X"21", X"8f", X"a8", X"00", X"50",
464
  X"00", X"00", X"00", X"00", X"8d", X"05", X"00", X"00",
465
  X"24", X"06", X"00", X"10", X"25", X"08", X"00", X"04",
466
  X"af", X"a2", X"00", X"10", X"00", X"00", X"38", X"21",
467
  X"24", X"02", X"00", X"41", X"af", X"a8", X"00", X"50",
468
  X"af", X"a3", X"00", X"14", X"0f", X"f0", X"02", X"34",
469
  X"af", X"a2", X"00", X"18", X"0b", X"f0", X"02", X"fb",
470
  X"02", X"42", X"90", X"21", X"8f", X"a8", X"00", X"50",
471
  X"00", X"00", X"00", X"00", X"8d", X"05", X"00", X"00",
472
  X"24", X"06", X"00", X"0a", X"25", X"08", X"00", X"04",
473
  X"0b", X"f0", X"03", X"18", X"00", X"00", X"38", X"21",
474
  X"0b", X"f0", X"03", X"0c", X"26", X"c5", X"0e", X"58",
475
  X"0b", X"f0", X"02", X"e8", X"00", X"00", X"90", X"21",
476
  X"27", X"bd", X"ff", X"e0", X"27", X"a2", X"00", X"24",
477
  X"00", X"80", X"18", X"21", X"af", X"a5", X"00", X"24",
478
  X"af", X"a6", X"00", X"28", X"00", X"00", X"20", X"21",
479
  X"00", X"60", X"28", X"21", X"00", X"40", X"30", X"21",
480
  X"af", X"bf", X"00", X"1c", X"af", X"a7", X"00", X"2c",
481
  X"0f", X"f0", X"02", X"91", X"af", X"a2", X"00", X"10",
482
  X"8f", X"bf", X"00", X"1c", X"00", X"00", X"00", X"00",
483
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"20",
484
  X"27", X"bd", X"ff", X"e0", X"27", X"a2", X"00", X"28",
485
  X"af", X"a4", X"00", X"20", X"af", X"a6", X"00", X"28",
486
  X"27", X"a4", X"00", X"20", X"00", X"40", X"30", X"21",
487
  X"af", X"bf", X"00", X"1c", X"af", X"a7", X"00", X"2c",
488
  X"0f", X"f0", X"02", X"91", X"af", X"a2", X"00", X"10",
489
  X"8f", X"bf", X"00", X"1c", X"00", X"00", X"00", X"00",
490
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"20",
491
  X"27", X"bd", X"ff", X"e0", X"27", X"a2", X"00", X"2c",
492
  X"af", X"a4", X"00", X"20", X"00", X"c0", X"28", X"21",
493
  X"27", X"a4", X"00", X"20", X"00", X"40", X"30", X"21",
494
  X"af", X"bf", X"00", X"1c", X"af", X"a7", X"00", X"2c",
495
  X"0f", X"f0", X"02", X"91", X"af", X"a2", X"00", X"10",
496
  X"8f", X"bf", X"00", X"1c", X"00", X"00", X"00", X"00",
497
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"20",
498
  X"03", X"e0", X"00", X"08", X"00", X"00", X"10", X"21",
499
  X"00", X"80", X"10", X"21", X"3c", X"05", X"20", X"00",
500
  X"8c", X"a3", X"00", X"04", X"00", X"00", X"00", X"00",
501
  X"30", X"63", X"00", X"01", X"10", X"60", X"ff", X"fc",
502
  X"3c", X"03", X"20", X"00", X"ac", X"62", X"00", X"00",
503
  X"03", X"e0", X"00", X"08", X"00", X"00", X"00", X"00",
504
  X"3c", X"03", X"20", X"00", X"8c", X"62", X"00", X"04",
505
  X"00", X"00", X"00", X"00", X"30", X"42", X"00", X"02",
506
  X"10", X"40", X"ff", X"fc", X"3c", X"02", X"20", X"00",
507
  X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
508 233 ja_rd
  X"30", X"42", X"00", X"ff", X"63", X"6f", X"6d", X"70",
509 226 ja_rd
  X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
510 233 ja_rd
  X"3a", X"20", X"4f", X"63", X"74", X"20", X"32", X"37",
511 226 ja_rd
  X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
512 233 ja_rd
  X"20", X"30", X"30", X"3a", X"34", X"36", X"3a", X"30",
513
  X"34", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
514 226 ja_rd
  X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
515
  X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
516
  X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
517
  X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
518
  X"64", X"21", X"0a", X"0a", X"0a", X"00", X"00", X"00",
519 233 ja_rd
  X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00", X"00"
520
  );
521 226 ja_rd
 
522
 
523
 
524
end package obj_code_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.