OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [tb/] [sim_params_pkg.vhdl] - Blame information for rev 226

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 193 ja_rd
--------------------------------------------------------------------------------
2 226 ja_rd
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3 193 ja_rd
--------------------------------------------------------------------------------
4 226 ja_rd
-- Built for project 'Hello World'.
5
--------------------------------------------------------------------------------
6
-- This file contains object code in the form of a VHDL byte table constant.
7
-- This constant can be used to initialize FPGA memories for synthesis or
8
-- simulation.
9
-- Note that the object code is stored as a plain byte table in byte address
10
-- order. This table knows nothing of data endianess and can be used to
11
-- initialize 32-, 16- or 8-bit-wide memory -- memory initialization functions
12
-- can be found in package mips_pkg.
13
--------------------------------------------------------------------------------
14
-- Copyright (C) 2012 Jose A. Ruiz
15 193 ja_rd
--
16 226 ja_rd
-- This source file may be used and distributed without
17
-- restriction provided that this copyright statement is not
18
-- removed from the file and that any derivative work contains
19
-- the original copyright notice and the associated disclaimer.
20
--
21
-- This source file is free software; you can redistribute it
22
-- and/or modify it under the terms of the GNU Lesser General
23
-- Public License as published by the Free Software Foundation;
24
-- either version 2.1 of the License, or (at your option) any
25
-- later version.
26
--
27
-- This source is distributed in the hope that it will be
28
-- useful, but WITHOUT ANY WARRANTY; without even the implied
29
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
30
-- PURPOSE.  See the GNU Lesser General Public License for more
31
-- details.
32
--
33
-- You should have received a copy of the GNU Lesser General
34
-- Public License along with this source; if not, download it
35 193 ja_rd
-- from http://www.opencores.org/lgpl.shtml
36
--------------------------------------------------------------------------------
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40 226 ja_rd
use ieee.numeric_std.all;
41 193 ja_rd
use work.mips_pkg.all;
42
 
43
package sim_params_pkg is
44
 
45 226 ja_rd
-- Hardcoded simulation parameters ---------------------------------------------
46 193 ja_rd
 
47 226 ja_rd
-- Simulation clock rate
48
constant CLOCK_RATE : integer   := 50e6;
49
-- Simulation clock period
50
constant T : time               := (1.0e9/real(CLOCK_RATE)) * 1 ns;
51 193 ja_rd
 
52 226 ja_rd
-- Other simulation parameters -------------------------------------------------
53
 
54 193 ja_rd
constant SIMULATION_LENGTH : integer := 90000;
55 226 ja_rd
constant LOG_TRIGGER_ADDRESS : t_word := X"bfc00000";
56
constant SRAM_SIZE : integer := 1024;
57
constant PROM_SIZE : integer := 0;
58
constant BRAM_SIZE : integer := 2048;
59 193 ja_rd
 
60
 
61 226 ja_rd
-- Memory initialization data --------------------------------------------------
62 193 ja_rd
 
63 226 ja_rd
constant obj_code : t_obj_code(0 to 3678) := (
64
  X"10", X"00", X"00", X"7c", X"00", X"00", X"00", X"00",
65
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
66
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
67
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
68
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
69
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
70
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
71
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
72
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
73
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
74
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
75
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
76
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
77
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
78
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
79
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
80
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
81
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
82
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
83
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
84
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
85
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
86
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
87
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
88
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
89
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
90
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
91
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
92
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
93
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
94
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
95
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
96
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
97
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
98
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
99
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
100
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
101
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
102
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
103
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
104
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
105
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
106
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
107
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
108
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
109
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
110
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
111
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
112
  X"40", X"1a", X"68", X"00", X"00", X"1a", X"d0", X"82",
113
  X"33", X"5a", X"00", X"1f", X"34", X"1b", X"00", X"08",
114
  X"13", X"5b", X"00", X"09", X"23", X"7b", X"00", X"01",
115
  X"13", X"5b", X"00", X"05", X"23", X"7b", X"00", X"01",
116
  X"17", X"5b", X"00", X"07", X"00", X"00", X"00", X"00",
117
  X"0b", X"f0", X"00", X"a2", X"00", X"00", X"00", X"00",
118
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
119
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
120
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
121
  X"40", X"1b", X"70", X"00", X"40", X"1a", X"68", X"00",
122
  X"00", X"1a", X"d7", X"c2", X"33", X"5a", X"00", X"01",
123
  X"17", X"40", X"00", X"03", X"23", X"7b", X"00", X"04",
124
  X"03", X"60", X"00", X"08", X"00", X"00", X"00", X"00",
125
  X"23", X"7b", X"00", X"04", X"03", X"60", X"00", X"08",
126
  X"42", X"00", X"00", X"10", X"40", X"04", X"60", X"00",
127
  X"30", X"84", X"ff", X"fe", X"40", X"84", X"60", X"00",
128
  X"0f", X"f0", X"00", X"86", X"00", X"00", X"00", X"00",
129
  X"3c", X"04", X"bf", X"c0", X"24", X"84", X"06", X"b4",
130
  X"00", X"80", X"00", X"08", X"00", X"00", X"00", X"00",
131
  X"3c", X"05", X"00", X"01", X"40", X"04", X"60", X"00",
132
  X"30", X"84", X"ff", X"ff", X"00", X"85", X"28", X"25",
133
  X"40", X"85", X"60", X"00", X"24", X"04", X"00", X"00",
134
  X"24", X"06", X"00", X"00", X"24", X"05", X"00", X"ff",
135
  X"ac", X"86", X"00", X"00", X"00", X"c5", X"08", X"2a",
136
  X"14", X"20", X"ff", X"fd", X"20", X"c6", X"00", X"01",
137
  X"24", X"04", X"00", X"00", X"24", X"06", X"00", X"00",
138
  X"24", X"05", X"00", X"ff", X"8c", X"80", X"00", X"00",
139
  X"20", X"84", X"00", X"10", X"00", X"c5", X"08", X"2a",
140
  X"14", X"20", X"ff", X"fc", X"20", X"c6", X"00", X"01",
141
  X"3c", X"05", X"00", X"02", X"40", X"04", X"60", X"00",
142
  X"30", X"84", X"ff", X"ff", X"00", X"85", X"28", X"25",
143
  X"03", X"e0", X"00", X"08", X"40", X"85", X"60", X"00",
144
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
145
  X"3c", X"1b", X"00", X"00", X"27", X"7b", X"00", X"3c",
146
  X"af", X"7d", X"ff", X"f0", X"af", X"7f", X"ff", X"ec",
147
  X"af", X"68", X"ff", X"e8", X"af", X"69", X"ff", X"e4",
148
  X"af", X"6a", X"ff", X"e0", X"03", X"60", X"e8", X"21",
149
  X"40", X"08", X"70", X"00", X"8d", X"1a", X"00", X"00",
150
  X"40", X"1b", X"68", X"00", X"07", X"70", X"00", X"2d",
151
  X"00", X"00", X"00", X"00", X"00", X"1a", X"4e", X"82",
152
  X"39", X"28", X"00", X"1f", X"11", X"00", X"00", X"1f",
153
  X"39", X"28", X"00", X"1c", X"11", X"00", X"00", X"13",
154
  X"00", X"00", X"00", X"00", X"3c", X"08", X"20", X"01",
155
  X"ad", X"1a", X"04", X"00", X"8f", X"aa", X"ff", X"e0",
156
  X"8f", X"a9", X"ff", X"e4", X"8f", X"a8", X"ff", X"e8",
157
  X"8f", X"bf", X"ff", X"ec", X"8f", X"bd", X"ff", X"f0",
158
  X"40", X"1b", X"70", X"00", X"40", X"1a", X"68", X"00",
159
  X"00", X"1a", X"d7", X"c2", X"33", X"5a", X"00", X"01",
160
  X"17", X"40", X"00", X"03", X"23", X"7b", X"00", X"04",
161
  X"03", X"60", X"00", X"08", X"00", X"00", X"00", X"00",
162
  X"23", X"7b", X"00", X"04", X"03", X"60", X"00", X"08",
163
  X"42", X"00", X"00", X"10", X"33", X"5b", X"00", X"3f",
164
  X"3b", X"68", X"00", X"20", X"11", X"00", X"00", X"14",
165
  X"3b", X"68", X"00", X"21", X"11", X"00", X"00", X"1c",
166
  X"00", X"00", X"00", X"00", X"3c", X"08", X"20", X"01",
167
  X"ad", X"1a", X"04", X"00", X"0b", X"f0", X"00", X"b7",
168
  X"00", X"00", X"00", X"00", X"33", X"5b", X"00", X"3f",
169
  X"3b", X"68", X"00", X"00", X"11", X"00", X"00", X"1e",
170
  X"3b", X"68", X"00", X"04", X"11", X"00", X"00", X"29",
171
  X"00", X"00", X"00", X"00", X"3c", X"08", X"20", X"01",
172
  X"ad", X"1a", X"04", X"00", X"0b", X"f0", X"00", X"b7",
173
  X"00", X"00", X"00", X"00", X"8d", X"1a", X"00", X"04",
174
  X"03", X"e0", X"00", X"08", X"00", X"00", X"00", X"00",
175
  X"0f", X"f0", X"01", X"61", X"3c", X"0a", X"80", X"00",
176
  X"00", X"00", X"40", X"21", X"03", X"6a", X"48", X"24",
177
  X"15", X"20", X"00", X"03", X"00", X"0a", X"50", X"42",
178
  X"15", X"40", X"ff", X"fc", X"25", X"08", X"00", X"01",
179
  X"0b", X"f0", X"01", X"17", X"01", X"00", X"d8", X"21",
180
  X"0f", X"f0", X"01", X"61", X"3c", X"0a", X"80", X"00",
181
  X"00", X"00", X"40", X"21", X"03", X"6a", X"48", X"24",
182
  X"11", X"20", X"00", X"03", X"00", X"0a", X"50", X"42",
183
  X"15", X"40", X"ff", X"fc", X"25", X"08", X"00", X"01",
184
  X"0b", X"f0", X"01", X"17", X"01", X"00", X"d8", X"21",
185
  X"0f", X"f0", X"01", X"61", X"00", X"00", X"00", X"00",
186
  X"00", X"1a", X"41", X"82", X"31", X"08", X"00", X"1f",
187
  X"00", X"1a", X"4a", X"c2", X"31", X"29", X"00", X"1f",
188
  X"01", X"09", X"50", X"21", X"00", X"0a", X"50", X"23",
189
  X"25", X"4a", X"00", X"1f", X"01", X"5b", X"d8", X"04",
190
  X"01", X"5b", X"d8", X"06", X"0b", X"f0", X"01", X"17",
191
  X"01", X"1b", X"d8", X"06", X"0f", X"f0", X"01", X"61",
192
  X"00", X"00", X"00", X"00", X"00", X"1a", X"41", X"82",
193
  X"31", X"08", X"00", X"1f", X"00", X"1a", X"4a", X"c2",
194
  X"31", X"29", X"00", X"1f", X"01", X"28", X"48", X"23",
195
  X"00", X"09", X"58", X"23", X"25", X"6b", X"00", X"1f",
196
  X"01", X"1b", X"48", X"04", X"3c", X"0a", X"ff", X"ff",
197
  X"35", X"4a", X"ff", X"ff", X"01", X"6a", X"50", X"04",
198
  X"01", X"6a", X"50", X"06", X"01", X"0a", X"50", X"04",
199
  X"01", X"2a", X"48", X"24", X"01", X"40", X"50", X"27",
200
  X"0f", X"f0", X"01", X"61", X"00", X"1a", X"d1", X"40",
201
  X"00", X"1a", X"d1", X"42", X"03", X"6a", X"d8", X"24",
202
  X"03", X"69", X"d8", X"25", X"0b", X"f0", X"01", X"17",
203
  X"00", X"00", X"00", X"00", X"00", X"1a", X"4c", X"02",
204
  X"31", X"29", X"00", X"1f", X"3c", X"08", X"bf", X"c0",
205
  X"25", X"08", X"04", X"84", X"00", X"09", X"48", X"c0",
206
  X"01", X"09", X"40", X"20", X"01", X"00", X"00", X"08",
207
  X"00", X"00", X"00", X"00", X"0b", X"f0", X"00", X"b7",
208
  X"00", X"00", X"00", X"00", X"0b", X"f0", X"01", X"1f",
209
  X"37", X"60", X"00", X"00", X"0b", X"f0", X"01", X"1f",
210
  X"37", X"61", X"00", X"00", X"0b", X"f0", X"01", X"1f",
211
  X"37", X"62", X"00", X"00", X"0b", X"f0", X"01", X"1f",
212
  X"37", X"63", X"00", X"00", X"0b", X"f0", X"01", X"1f",
213
  X"37", X"64", X"00", X"00", X"0b", X"f0", X"01", X"1f",
214
  X"37", X"65", X"00", X"00", X"0b", X"f0", X"01", X"1f",
215
  X"37", X"66", X"00", X"00", X"0b", X"f0", X"01", X"1f",
216
  X"37", X"67", X"00", X"00", X"0b", X"f0", X"01", X"1f",
217
  X"af", X"bb", X"ff", X"e8", X"0b", X"f0", X"01", X"1f",
218
  X"af", X"bb", X"ff", X"e4", X"0b", X"f0", X"01", X"1f",
219
  X"af", X"bb", X"ff", X"e0", X"0b", X"f0", X"01", X"1f",
220
  X"37", X"6b", X"00", X"00", X"0b", X"f0", X"01", X"1f",
221
  X"37", X"6c", X"00", X"00", X"0b", X"f0", X"01", X"1f",
222
  X"37", X"6d", X"00", X"00", X"0b", X"f0", X"01", X"1f",
223
  X"37", X"6e", X"00", X"00", X"0b", X"f0", X"01", X"1f",
224
  X"37", X"6f", X"00", X"00", X"0b", X"f0", X"01", X"1f",
225
  X"37", X"70", X"00", X"00", X"0b", X"f0", X"01", X"1f",
226
  X"37", X"71", X"00", X"00", X"0b", X"f0", X"01", X"1f",
227
  X"37", X"72", X"00", X"00", X"0b", X"f0", X"01", X"1f",
228
  X"37", X"73", X"00", X"00", X"0b", X"f0", X"01", X"1f",
229
  X"37", X"74", X"00", X"00", X"0b", X"f0", X"01", X"1f",
230
  X"37", X"75", X"00", X"00", X"0b", X"f0", X"01", X"1f",
231
  X"37", X"76", X"00", X"00", X"0b", X"f0", X"01", X"1f",
232
  X"37", X"77", X"00", X"00", X"0b", X"f0", X"01", X"1f",
233
  X"37", X"78", X"00", X"00", X"0b", X"f0", X"01", X"1f",
234
  X"37", X"79", X"00", X"00", X"0b", X"f0", X"01", X"1f",
235
  X"37", X"7a", X"00", X"00", X"0b", X"f0", X"01", X"1f",
236
  X"37", X"7b", X"00", X"00", X"0b", X"f0", X"01", X"1f",
237
  X"37", X"7c", X"00", X"00", X"0b", X"f0", X"01", X"1f",
238
  X"af", X"bb", X"ff", X"ec", X"0b", X"f0", X"01", X"1f",
239
  X"37", X"7e", X"00", X"00", X"0b", X"f0", X"01", X"1f",
240
  X"af", X"bb", X"ff", X"f0", X"af", X"bf", X"00", X"00",
241
  X"00", X"1a", X"dd", X"42", X"33", X"7b", X"00", X"1f",
242
  X"3c", X"08", X"bf", X"c0", X"25", X"08", X"05", X"b4",
243
  X"00", X"1b", X"d8", X"c0", X"01", X"1b", X"40", X"20",
244
  X"01", X"00", X"f8", X"09", X"00", X"00", X"00", X"00",
245
  X"8f", X"bf", X"00", X"00", X"03", X"e0", X"00", X"08",
246
  X"00", X"00", X"00", X"00", X"03", X"e0", X"00", X"08",
247
  X"34", X"1b", X"00", X"00", X"03", X"e0", X"00", X"08",
248
  X"34", X"3b", X"00", X"00", X"03", X"e0", X"00", X"08",
249
  X"34", X"5b", X"00", X"00", X"03", X"e0", X"00", X"08",
250
  X"34", X"7b", X"00", X"00", X"03", X"e0", X"00", X"08",
251
  X"34", X"9b", X"00", X"00", X"03", X"e0", X"00", X"08",
252
  X"34", X"bb", X"00", X"00", X"03", X"e0", X"00", X"08",
253
  X"34", X"db", X"00", X"00", X"03", X"e0", X"00", X"08",
254
  X"34", X"fb", X"00", X"00", X"03", X"e0", X"00", X"08",
255
  X"8f", X"bb", X"ff", X"e8", X"03", X"e0", X"00", X"08",
256
  X"8f", X"bb", X"ff", X"e4", X"03", X"e0", X"00", X"08",
257
  X"8f", X"bb", X"ff", X"e0", X"03", X"e0", X"00", X"08",
258
  X"35", X"7b", X"00", X"00", X"03", X"e0", X"00", X"08",
259
  X"35", X"9b", X"00", X"00", X"03", X"e0", X"00", X"08",
260
  X"35", X"bb", X"00", X"00", X"03", X"e0", X"00", X"08",
261
  X"35", X"db", X"00", X"00", X"03", X"e0", X"00", X"08",
262
  X"35", X"fb", X"00", X"00", X"03", X"e0", X"00", X"08",
263
  X"36", X"1b", X"00", X"00", X"03", X"e0", X"00", X"08",
264
  X"36", X"3b", X"00", X"00", X"03", X"e0", X"00", X"08",
265
  X"36", X"5b", X"00", X"00", X"03", X"e0", X"00", X"08",
266
  X"36", X"7b", X"00", X"00", X"03", X"e0", X"00", X"08",
267
  X"36", X"9b", X"00", X"00", X"03", X"e0", X"00", X"08",
268
  X"36", X"bb", X"00", X"00", X"03", X"e0", X"00", X"08",
269
  X"36", X"db", X"00", X"00", X"03", X"e0", X"00", X"08",
270
  X"36", X"fb", X"00", X"00", X"03", X"e0", X"00", X"08",
271
  X"37", X"1b", X"00", X"00", X"03", X"e0", X"00", X"08",
272
  X"37", X"3b", X"00", X"00", X"03", X"e0", X"00", X"08",
273
  X"37", X"5b", X"00", X"00", X"03", X"e0", X"00", X"08",
274
  X"37", X"7b", X"00", X"00", X"03", X"e0", X"00", X"08",
275
  X"37", X"9a", X"00", X"00", X"03", X"e0", X"00", X"08",
276
  X"8f", X"bb", X"ff", X"f0", X"03", X"e0", X"00", X"08",
277
  X"37", X"db", X"00", X"00", X"03", X"e0", X"00", X"08",
278
  X"8f", X"bb", X"ff", X"ec", X"3c", X"1c", X"00", X"00",
279
  X"27", X"9c", X"7f", X"f0", X"3c", X"05", X"00", X"00",
280
  X"24", X"a5", X"00", X"00", X"3c", X"04", X"00", X"00",
281
  X"24", X"84", X"00", X"00", X"3c", X"1d", X"00", X"00",
282
  X"27", X"bd", X"04", X"28", X"ac", X"a0", X"00", X"00",
283
  X"00", X"a4", X"18", X"2a", X"14", X"60", X"ff", X"fd",
284
  X"24", X"a5", X"00", X"04", X"3c", X"04", X"00", X"00",
285
  X"24", X"84", X"00", X"00", X"3c", X"05", X"bf", X"c0",
286
  X"24", X"a5", X"0e", X"60", X"10", X"a4", X"00", X"0b",
287
  X"00", X"00", X"00", X"00", X"3c", X"10", X"00", X"00",
288
  X"26", X"10", X"00", X"00", X"12", X"00", X"00", X"07",
289
  X"00", X"00", X"00", X"00", X"8c", X"a8", X"00", X"00",
290
  X"24", X"a5", X"00", X"04", X"ac", X"88", X"00", X"00",
291
  X"24", X"84", X"00", X"04", X"16", X"00", X"ff", X"fb",
292
  X"26", X"10", X"ff", X"fc", X"0f", X"f0", X"01", X"cd",
293
  X"00", X"00", X"00", X"00", X"0b", X"f0", X"01", X"cb",
294
  X"00", X"00", X"00", X"00", X"3c", X"04", X"bf", X"c0",
295
  X"27", X"bd", X"ff", X"e8", X"af", X"bf", X"00", X"14",
296
  X"0f", X"f0", X"03", X"40", X"24", X"84", X"0e", X"04",
297
  X"3c", X"04", X"bf", X"c0", X"0f", X"f0", X"03", X"40",
298
  X"24", X"84", X"0e", X"2c", X"3c", X"04", X"bf", X"c0",
299
  X"8f", X"bf", X"00", X"14", X"24", X"84", X"0e", X"44",
300
  X"0b", X"f0", X"03", X"40", X"27", X"bd", X"00", X"18",
301
  X"10", X"80", X"00", X"09", X"00", X"00", X"00", X"00",
302
  X"8c", X"82", X"00", X"00", X"00", X"00", X"00", X"00",
303
  X"a0", X"45", X"00", X"00", X"8c", X"82", X"00", X"00",
304
  X"00", X"00", X"00", X"00", X"24", X"42", X"00", X"01",
305
  X"03", X"e0", X"00", X"08", X"ac", X"82", X"00", X"00",
306
  X"0b", X"f0", X"03", X"6e", X"00", X"a0", X"20", X"21",
307
  X"27", X"bd", X"ff", X"d8", X"af", X"b2", X"00", X"18",
308
  X"af", X"b1", X"00", X"14", X"af", X"b0", X"00", X"10",
309
  X"af", X"bf", X"00", X"24", X"af", X"b4", X"00", X"20",
310
  X"af", X"b3", X"00", X"1c", X"00", X"c0", X"90", X"21",
311
  X"00", X"80", X"88", X"21", X"18", X"c0", X"00", X"32",
312
  X"00", X"a0", X"80", X"21", X"90", X"a2", X"00", X"00",
313
  X"00", X"00", X"00", X"00", X"10", X"40", X"00", X"3d",
314
  X"00", X"a0", X"10", X"21", X"00", X"00", X"18", X"21",
315
  X"24", X"42", X"00", X"01", X"90", X"44", X"00", X"00",
316
  X"00", X"00", X"00", X"00", X"14", X"80", X"ff", X"fc",
317
  X"24", X"63", X"00", X"01", X"00", X"72", X"10", X"2a",
318
  X"14", X"40", X"00", X"02", X"02", X"43", X"90", X"23",
319
  X"00", X"00", X"90", X"21", X"30", X"e2", X"00", X"02",
320
  X"10", X"40", X"00", X"22", X"30", X"e7", X"00", X"01",
321
  X"10", X"e0", X"00", X"22", X"24", X"14", X"00", X"30",
322
  X"00", X"00", X"98", X"21", X"92", X"05", X"00", X"00",
323
  X"00", X"00", X"00", X"00", X"10", X"a0", X"00", X"08",
324
  X"00", X"00", X"00", X"00", X"02", X"20", X"20", X"21",
325
  X"0f", X"f0", X"01", X"da", X"26", X"10", X"00", X"01",
326
  X"92", X"05", X"00", X"00", X"00", X"00", X"00", X"00",
327
  X"14", X"a0", X"ff", X"fa", X"26", X"73", X"00", X"01",
328
  X"1a", X"40", X"00", X"08", X"02", X"40", X"80", X"21",
329
  X"26", X"10", X"ff", X"ff", X"02", X"20", X"20", X"21",
330
  X"0f", X"f0", X"01", X"da", X"02", X"80", X"28", X"21",
331
  X"16", X"00", X"ff", X"fc", X"26", X"10", X"ff", X"ff",
332
  X"02", X"72", X"98", X"21", X"8f", X"bf", X"00", X"24",
333
  X"02", X"60", X"10", X"21", X"8f", X"b4", X"00", X"20",
334
  X"8f", X"b3", X"00", X"1c", X"8f", X"b2", X"00", X"18",
335
  X"8f", X"b1", X"00", X"14", X"8f", X"b0", X"00", X"10",
336
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"28",
337
  X"30", X"e7", X"00", X"01", X"14", X"e0", X"ff", X"e0",
338
  X"24", X"14", X"00", X"20", X"1a", X"40", X"ff", X"de",
339
  X"02", X"40", X"98", X"21", X"26", X"73", X"ff", X"ff",
340
  X"02", X"20", X"20", X"21", X"0f", X"f0", X"01", X"da",
341
  X"02", X"80", X"28", X"21", X"16", X"60", X"ff", X"fc",
342
  X"26", X"73", X"ff", X"ff", X"26", X"73", X"00", X"01",
343
  X"02", X"40", X"98", X"21", X"0b", X"f0", X"02", X"05",
344
  X"00", X"00", X"90", X"21", X"00", X"00", X"18", X"21",
345
  X"0b", X"f0", X"01", X"ff", X"02", X"43", X"90", X"23",
346
  X"27", X"bd", X"ff", X"c8", X"af", X"b4", X"00", X"30",
347
  X"af", X"b2", X"00", X"28", X"af", X"b1", X"00", X"24",
348
  X"af", X"bf", X"00", X"34", X"af", X"b3", X"00", X"2c",
349
  X"af", X"b0", X"00", X"20", X"00", X"a0", X"10", X"21",
350
  X"8f", X"b4", X"00", X"48", X"8f", X"b2", X"00", X"4c",
351
  X"10", X"a0", X"00", X"3f", X"00", X"80", X"88", X"21",
352
  X"14", X"e0", X"00", X"31", X"24", X"03", X"00", X"0a",
353
  X"00", X"00", X"38", X"21", X"10", X"40", X"00", X"49",
354
  X"a3", X"a0", X"00", X"1b", X"8f", X"a5", X"00", X"50",
355
  X"27", X"b3", X"00", X"1b", X"24", X"a5", X"ff", X"c6",
356
  X"14", X"c0", X"00", X"02", X"00", X"46", X"00", X"1b",
357
  X"00", X"07", X"00", X"0d", X"00", X"00", X"18", X"10",
358
  X"28", X"64", X"00", X"0a", X"00", X"00", X"00", X"00",
359
  X"14", X"c0", X"00", X"02", X"00", X"46", X"00", X"1b",
360
  X"00", X"07", X"00", X"0d", X"00", X"00", X"10", X"12",
361
  X"14", X"80", X"00", X"02", X"26", X"73", X"ff", X"ff",
362
  X"00", X"65", X"18", X"21", X"24", X"63", X"00", X"30",
363
  X"14", X"40", X"ff", X"f1", X"a2", X"63", X"00", X"00",
364
  X"14", X"e0", X"00", X"10", X"00", X"00", X"00", X"00",
365
  X"00", X"00", X"80", X"21", X"02", X"20", X"20", X"21",
366
  X"02", X"60", X"28", X"21", X"02", X"80", X"30", X"21",
367
  X"0f", X"f0", X"01", X"e6", X"02", X"40", X"38", X"21",
368
  X"8f", X"bf", X"00", X"34", X"00", X"50", X"10", X"21",
369
  X"8f", X"b4", X"00", X"30", X"8f", X"b3", X"00", X"2c",
370
  X"8f", X"b2", X"00", X"28", X"8f", X"b1", X"00", X"24",
371
  X"8f", X"b0", X"00", X"20", X"03", X"e0", X"00", X"08",
372
  X"27", X"bd", X"00", X"38", X"12", X"80", X"00", X"0f",
373
  X"32", X"42", X"00", X"02", X"10", X"40", X"00", X"0d",
374
  X"02", X"20", X"20", X"21", X"0f", X"f0", X"01", X"da",
375
  X"24", X"05", X"00", X"2d", X"26", X"94", X"ff", X"ff",
376
  X"0b", X"f0", X"02", X"5b", X"24", X"10", X"00", X"01",
377
  X"14", X"c3", X"ff", X"d0", X"00", X"00", X"38", X"21",
378
  X"04", X"a1", X"ff", X"ce", X"00", X"00", X"00", X"00",
379
  X"00", X"05", X"10", X"23", X"0b", X"f0", X"02", X"43",
380
  X"24", X"07", X"00", X"01", X"26", X"73", X"ff", X"ff",
381
  X"24", X"02", X"00", X"2d", X"a2", X"62", X"00", X"00",
382
  X"0b", X"f0", X"02", X"5b", X"00", X"00", X"80", X"21",
383
  X"27", X"a5", X"00", X"10", X"02", X"80", X"30", X"21",
384
  X"02", X"40", X"38", X"21", X"24", X"02", X"00", X"30",
385
  X"a3", X"a2", X"00", X"10", X"0f", X"f0", X"01", X"e6",
386
  X"a3", X"a0", X"00", X"11", X"8f", X"bf", X"00", X"34",
387
  X"8f", X"b4", X"00", X"30", X"8f", X"b3", X"00", X"2c",
388
  X"8f", X"b2", X"00", X"28", X"8f", X"b1", X"00", X"24",
389
  X"8f", X"b0", X"00", X"20", X"03", X"e0", X"00", X"08",
390
  X"27", X"bd", X"00", X"38", X"10", X"e0", X"ff", X"cc",
391
  X"27", X"b3", X"00", X"1b", X"0b", X"f0", X"02", X"69",
392
  X"00", X"00", X"00", X"00", X"27", X"bd", X"ff", X"b8",
393
  X"af", X"b4", X"00", X"38", X"af", X"b0", X"00", X"28",
394
  X"af", X"bf", X"00", X"44", X"af", X"b6", X"00", X"40",
395
  X"af", X"b5", X"00", X"3c", X"af", X"b3", X"00", X"34",
396
  X"af", X"b2", X"00", X"30", X"af", X"b1", X"00", X"2c",
397
  X"00", X"a0", X"80", X"21", X"90", X"a5", X"00", X"00",
398
  X"00", X"80", X"a0", X"21", X"10", X"a0", X"00", X"a0",
399
  X"af", X"a6", X"00", X"50", X"00", X"00", X"90", X"21",
400
  X"24", X"13", X"00", X"25", X"24", X"15", X"00", X"2d",
401
  X"24", X"11", X"00", X"30", X"3c", X"16", X"bf", X"c0",
402
  X"14", X"b3", X"00", X"53", X"00", X"00", X"00", X"00",
403
  X"26", X"10", X"00", X"01", X"92", X"05", X"00", X"00",
404
  X"00", X"00", X"00", X"00", X"10", X"a0", X"00", X"3e",
405
  X"00", X"00", X"00", X"00", X"10", X"b3", X"00", X"4c",
406
  X"00", X"00", X"00", X"00", X"10", X"b5", X"00", X"54",
407
  X"00", X"00", X"00", X"00", X"00", X"00", X"18", X"21",
408
  X"14", X"b1", X"00", X"07", X"24", X"a2", X"ff", X"d0",
409
  X"26", X"10", X"00", X"01", X"92", X"05", X"00", X"00",
410
  X"00", X"00", X"00", X"00", X"10", X"b1", X"ff", X"fc",
411
  X"34", X"63", X"00", X"02", X"24", X"a2", X"ff", X"d0",
412
  X"30", X"42", X"00", X"ff", X"2c", X"42", X"00", X"0a",
413
  X"10", X"40", X"00", X"0d", X"00", X"00", X"10", X"21",
414
  X"00", X"02", X"20", X"40", X"00", X"02", X"10", X"c0",
415
  X"00", X"82", X"10", X"21", X"26", X"10", X"00", X"01",
416
  X"00", X"45", X"10", X"21", X"92", X"05", X"00", X"00",
417
  X"00", X"00", X"00", X"00", X"24", X"a4", X"ff", X"d0",
418
  X"30", X"84", X"00", X"ff", X"2c", X"84", X"00", X"0a",
419
  X"14", X"80", X"ff", X"f5", X"24", X"42", X"ff", X"d0",
420
  X"24", X"04", X"00", X"73", X"10", X"a4", X"00", X"3c",
421
  X"24", X"04", X"00", X"64", X"10", X"a4", X"00", X"46",
422
  X"02", X"80", X"20", X"21", X"24", X"04", X"00", X"78",
423
  X"10", X"a4", X"00", X"51", X"02", X"80", X"20", X"21",
424
  X"24", X"04", X"00", X"58", X"10", X"a4", X"00", X"55",
425
  X"02", X"80", X"20", X"21", X"24", X"04", X"00", X"75",
426
  X"10", X"a4", X"00", X"60", X"02", X"80", X"20", X"21",
427
  X"24", X"04", X"00", X"63", X"14", X"a4", X"00", X"24",
428
  X"26", X"10", X"00", X"01", X"8f", X"a9", X"00", X"50",
429
  X"27", X"a5", X"00", X"20", X"8d", X"28", X"00", X"00",
430
  X"02", X"80", X"20", X"21", X"25", X"29", X"00", X"04",
431
  X"00", X"40", X"30", X"21", X"00", X"60", X"38", X"21",
432
  X"af", X"a9", X"00", X"50", X"a3", X"a8", X"00", X"20",
433
  X"0f", X"f0", X"01", X"e6", X"a3", X"a0", X"00", X"21",
434
  X"92", X"05", X"00", X"00", X"00", X"00", X"00", X"00",
435
  X"14", X"a0", X"ff", X"bd", X"02", X"42", X"90", X"21",
436
  X"12", X"80", X"00", X"04", X"00", X"00", X"00", X"00",
437
  X"8e", X"82", X"00", X"00", X"00", X"00", X"00", X"00",
438
  X"a0", X"40", X"00", X"00", X"8f", X"bf", X"00", X"44",
439
  X"02", X"40", X"10", X"21", X"8f", X"b6", X"00", X"40",
440
  X"8f", X"b5", X"00", X"3c", X"8f", X"b4", X"00", X"38",
441
  X"8f", X"b3", X"00", X"34", X"8f", X"b2", X"00", X"30",
442
  X"8f", X"b1", X"00", X"2c", X"8f", X"b0", X"00", X"28",
443
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"48",
444
  X"0f", X"f0", X"01", X"da", X"02", X"80", X"20", X"21",
445
  X"26", X"52", X"00", X"01", X"26", X"10", X"00", X"01",
446
  X"92", X"05", X"00", X"00", X"00", X"00", X"00", X"00",
447
  X"14", X"a0", X"ff", X"a5", X"00", X"00", X"00", X"00",
448
  X"0b", X"f0", X"02", X"e8", X"00", X"00", X"00", X"00",
449
  X"26", X"10", X"00", X"01", X"92", X"05", X"00", X"00",
450
  X"0b", X"f0", X"02", X"b0", X"24", X"03", X"00", X"01",
451
  X"8f", X"a4", X"00", X"50", X"00", X"00", X"00", X"00",
452
  X"8c", X"85", X"00", X"00", X"24", X"84", X"00", X"04",
453
  X"10", X"a0", X"00", X"31", X"af", X"a4", X"00", X"50",
454
  X"02", X"80", X"20", X"21", X"00", X"40", X"30", X"21",
455
  X"0f", X"f0", X"01", X"e6", X"00", X"60", X"38", X"21",
456
  X"0b", X"f0", X"02", X"fb", X"02", X"42", X"90", X"21",
457
  X"8f", X"a8", X"00", X"50", X"00", X"00", X"00", X"00",
458
  X"8d", X"05", X"00", X"00", X"24", X"06", X"00", X"0a",
459
  X"25", X"08", X"00", X"04", X"24", X"07", X"00", X"01",
460
  X"af", X"a2", X"00", X"10", X"24", X"02", X"00", X"61",
461
  X"af", X"a8", X"00", X"50", X"af", X"a3", X"00", X"14",
462
  X"0f", X"f0", X"02", X"34", X"af", X"a2", X"00", X"18",
463
  X"0b", X"f0", X"02", X"fb", X"02", X"42", X"90", X"21",
464
  X"8f", X"a8", X"00", X"50", X"00", X"00", X"00", X"00",
465
  X"8d", X"05", X"00", X"00", X"24", X"06", X"00", X"10",
466
  X"25", X"08", X"00", X"04", X"0b", X"f0", X"03", X"18",
467
  X"00", X"00", X"38", X"21", X"8f", X"a8", X"00", X"50",
468
  X"00", X"00", X"00", X"00", X"8d", X"05", X"00", X"00",
469
  X"24", X"06", X"00", X"10", X"25", X"08", X"00", X"04",
470
  X"af", X"a2", X"00", X"10", X"00", X"00", X"38", X"21",
471
  X"24", X"02", X"00", X"41", X"af", X"a8", X"00", X"50",
472
  X"af", X"a3", X"00", X"14", X"0f", X"f0", X"02", X"34",
473
  X"af", X"a2", X"00", X"18", X"0b", X"f0", X"02", X"fb",
474
  X"02", X"42", X"90", X"21", X"8f", X"a8", X"00", X"50",
475
  X"00", X"00", X"00", X"00", X"8d", X"05", X"00", X"00",
476
  X"24", X"06", X"00", X"0a", X"25", X"08", X"00", X"04",
477
  X"0b", X"f0", X"03", X"18", X"00", X"00", X"38", X"21",
478
  X"0b", X"f0", X"03", X"0c", X"26", X"c5", X"0e", X"58",
479
  X"0b", X"f0", X"02", X"e8", X"00", X"00", X"90", X"21",
480
  X"27", X"bd", X"ff", X"e0", X"27", X"a2", X"00", X"24",
481
  X"00", X"80", X"18", X"21", X"af", X"a5", X"00", X"24",
482
  X"af", X"a6", X"00", X"28", X"00", X"00", X"20", X"21",
483
  X"00", X"60", X"28", X"21", X"00", X"40", X"30", X"21",
484
  X"af", X"bf", X"00", X"1c", X"af", X"a7", X"00", X"2c",
485
  X"0f", X"f0", X"02", X"91", X"af", X"a2", X"00", X"10",
486
  X"8f", X"bf", X"00", X"1c", X"00", X"00", X"00", X"00",
487
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"20",
488
  X"27", X"bd", X"ff", X"e0", X"27", X"a2", X"00", X"28",
489
  X"af", X"a4", X"00", X"20", X"af", X"a6", X"00", X"28",
490
  X"27", X"a4", X"00", X"20", X"00", X"40", X"30", X"21",
491
  X"af", X"bf", X"00", X"1c", X"af", X"a7", X"00", X"2c",
492
  X"0f", X"f0", X"02", X"91", X"af", X"a2", X"00", X"10",
493
  X"8f", X"bf", X"00", X"1c", X"00", X"00", X"00", X"00",
494
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"20",
495
  X"27", X"bd", X"ff", X"e0", X"27", X"a2", X"00", X"2c",
496
  X"af", X"a4", X"00", X"20", X"00", X"c0", X"28", X"21",
497
  X"27", X"a4", X"00", X"20", X"00", X"40", X"30", X"21",
498
  X"af", X"bf", X"00", X"1c", X"af", X"a7", X"00", X"2c",
499
  X"0f", X"f0", X"02", X"91", X"af", X"a2", X"00", X"10",
500
  X"8f", X"bf", X"00", X"1c", X"00", X"00", X"00", X"00",
501
  X"03", X"e0", X"00", X"08", X"27", X"bd", X"00", X"20",
502
  X"03", X"e0", X"00", X"08", X"00", X"00", X"10", X"21",
503
  X"00", X"80", X"10", X"21", X"3c", X"05", X"20", X"00",
504
  X"8c", X"a3", X"00", X"04", X"00", X"00", X"00", X"00",
505
  X"30", X"63", X"00", X"01", X"10", X"60", X"ff", X"fc",
506
  X"3c", X"03", X"20", X"00", X"ac", X"62", X"00", X"00",
507
  X"03", X"e0", X"00", X"08", X"00", X"00", X"00", X"00",
508
  X"3c", X"03", X"20", X"00", X"8c", X"62", X"00", X"04",
509
  X"00", X"00", X"00", X"00", X"30", X"42", X"00", X"02",
510
  X"10", X"40", X"ff", X"fc", X"3c", X"02", X"20", X"00",
511
  X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
512
  X"00", X"02", X"16", X"02", X"63", X"6f", X"6d", X"70",
513
  X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
514
  X"3a", X"20", X"4a", X"75", X"6e", X"20", X"31", X"36",
515
  X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
516
  X"20", X"30", X"38", X"3a", X"34", X"31", X"3a", X"35",
517
  X"38", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
518
  X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
519
  X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
520
  X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
521
  X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
522
  X"64", X"21", X"0a", X"0a", X"0a", X"00", X"00", X"00",
523
  X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00" );
524 193 ja_rd
 
525 226 ja_rd
constant sram_init : t_obj_code(0 to 0) := (others => X"00");
526
constant prom_init : t_obj_code(0 to 0) := (others => X"00");
527 193 ja_rd
 
528
 
529 226 ja_rd
end package sim_params_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.