OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_altera_de1/] [curl.qsf] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 microengin
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2013 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21
# Date created = 12:30:40  April 16, 2018
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               curl_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C20F484C6
41
set_global_assignment -name TOP_LEVEL_ENTITY de1
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:30:40  APRIL 16, 2018"
44
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
45
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 2
49
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
50
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
51
set_global_assignment -name VHDL_FILE curl.vhd
52
set_global_assignment -name QIP_FILE ram.qip
53
set_global_assignment -name QIP_FILE ram_curl.qip
54
set_global_assignment -name QIP_FILE pll.qip
55
set_global_assignment -name VHDL_FILE de1.vhd
56
set_global_assignment -name SOURCE_FILE de1.qsf
57
set_location_assignment PIN_B6 -to AUD_ADCDAT
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT
59
set_location_assignment PIN_A6 -to AUD_ADCLRCK
60
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK
61
set_location_assignment PIN_A4 -to AUD_BCLK
62
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK
63
set_location_assignment PIN_B5 -to AUD_DACDAT
64
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT
65
set_location_assignment PIN_A5 -to AUD_DACLRCK
66
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK
67
set_location_assignment PIN_B4 -to AUD_XCK
68
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK
69
set_location_assignment PIN_A12 -to CLOCK_24[1]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_24[1]
71
set_location_assignment PIN_B12 -to CLOCK_24[0]
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_24[0]
73
set_location_assignment PIN_E12 -to CLOCK_27[1]
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_27[1]
75
set_location_assignment PIN_D12 -to CLOCK_27[0]
76
set_location_assignment PIN_L1 -to CLOCK_50
77
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
78
set_location_assignment PIN_N6 -to DRAM_ADDR[11]
79
set_location_assignment PIN_W3 -to DRAM_ADDR[10]
80
set_location_assignment PIN_N4 -to DRAM_ADDR[9]
81
set_location_assignment PIN_P3 -to DRAM_ADDR[8]
82
set_location_assignment PIN_P5 -to DRAM_ADDR[7]
83
set_location_assignment PIN_P6 -to DRAM_ADDR[6]
84
set_location_assignment PIN_R5 -to DRAM_ADDR[5]
85
set_location_assignment PIN_R6 -to DRAM_ADDR[4]
86
set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
87
set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
88
set_location_assignment PIN_W5 -to DRAM_ADDR[1]
89
set_location_assignment PIN_W4 -to DRAM_ADDR[0]
90
set_location_assignment PIN_U3 -to DRAM_BA_0
91
set_location_assignment PIN_V4 -to DRAM_BA_1
92
set_location_assignment PIN_T3 -to DRAM_CAS_N
93
set_location_assignment PIN_N3 -to DRAM_CKE
94
set_location_assignment PIN_U4 -to DRAM_CLK
95
set_location_assignment PIN_T6 -to DRAM_CS_N
96
set_location_assignment PIN_T2 -to DRAM_DQ[15]
97
set_location_assignment PIN_T1 -to DRAM_DQ[14]
98
set_location_assignment PIN_R2 -to DRAM_DQ[13]
99
set_location_assignment PIN_R1 -to DRAM_DQ[12]
100
set_location_assignment PIN_P2 -to DRAM_DQ[11]
101
set_location_assignment PIN_P1 -to DRAM_DQ[10]
102
set_location_assignment PIN_N2 -to DRAM_DQ[9]
103
set_location_assignment PIN_N1 -to DRAM_DQ[8]
104
set_location_assignment PIN_Y2 -to DRAM_DQ[7]
105
set_location_assignment PIN_Y1 -to DRAM_DQ[6]
106
set_location_assignment PIN_W2 -to DRAM_DQ[5]
107
set_location_assignment PIN_W1 -to DRAM_DQ[4]
108
set_location_assignment PIN_V2 -to DRAM_DQ[3]
109
set_location_assignment PIN_V1 -to DRAM_DQ[2]
110
set_location_assignment PIN_U2 -to DRAM_DQ[1]
111
set_location_assignment PIN_U1 -to DRAM_DQ[0]
112
set_location_assignment PIN_R7 -to DRAM_LDQM
113
set_location_assignment PIN_T5 -to DRAM_RAS_N
114
set_location_assignment PIN_M5 -to DRAM_UDQM
115
set_location_assignment PIN_R8 -to DRAM_WE_N
116
set_location_assignment PIN_M21 -to EXT_CLOCK
117
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_CLOCK
118
set_location_assignment PIN_R13 -to FL_ADDR[21]
119
set_location_assignment PIN_U13 -to FL_ADDR[20]
120
set_location_assignment PIN_V14 -to FL_ADDR[19]
121
set_location_assignment PIN_U14 -to FL_ADDR[18]
122
set_location_assignment PIN_AA20 -to FL_ADDR[17]
123
set_location_assignment PIN_AB12 -to FL_ADDR[16]
124
set_location_assignment PIN_AA12 -to FL_ADDR[15]
125
set_location_assignment PIN_AB13 -to FL_ADDR[14]
126
set_location_assignment PIN_AA13 -to FL_ADDR[13]
127
set_location_assignment PIN_AB14 -to FL_ADDR[12]
128
set_location_assignment PIN_T12 -to FL_ADDR[11]
129
set_location_assignment PIN_R12 -to FL_ADDR[10]
130
set_location_assignment PIN_Y13 -to FL_ADDR[9]
131
set_location_assignment PIN_R14 -to FL_ADDR[8]
132
set_location_assignment PIN_W15 -to FL_ADDR[7]
133
set_location_assignment PIN_V15 -to FL_ADDR[6]
134
set_location_assignment PIN_U15 -to FL_ADDR[5]
135
set_location_assignment PIN_T15 -to FL_ADDR[4]
136
set_location_assignment PIN_R15 -to FL_ADDR[3]
137
set_location_assignment PIN_Y16 -to FL_ADDR[2]
138
set_location_assignment PIN_AA14 -to FL_ADDR[1]
139
set_location_assignment PIN_AB20 -to FL_ADDR[0]
140
set_location_assignment PIN_AB15 -to FL_CE_N
141
set_location_assignment PIN_AA19 -to FL_DQ[7]
142
set_location_assignment PIN_AB19 -to FL_DQ[6]
143
set_location_assignment PIN_AA18 -to FL_DQ[5]
144
set_location_assignment PIN_AB18 -to FL_DQ[4]
145
set_location_assignment PIN_AA17 -to FL_DQ[3]
146
set_location_assignment PIN_AB17 -to FL_DQ[2]
147
set_location_assignment PIN_AA16 -to FL_DQ[1]
148
set_location_assignment PIN_AB16 -to FL_DQ[0]
149
set_location_assignment PIN_AA15 -to FL_OE_N
150
set_location_assignment PIN_W14 -to FL_RST_N
151
set_location_assignment PIN_Y14 -to FL_WE_N
152
set_location_assignment PIN_L18 -to GPIO_0[35]
153
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[35]
154
set_location_assignment PIN_L19 -to GPIO_0[34]
155
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[34]
156
set_location_assignment PIN_K20 -to GPIO_0[33]
157
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[33]
158
set_location_assignment PIN_J18 -to GPIO_0[32]
159
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[32]
160
set_location_assignment PIN_J20 -to GPIO_0[31]
161
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31]
162
set_location_assignment PIN_J19 -to GPIO_0[30]
163
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30]
164
set_location_assignment PIN_K22 -to GPIO_0[29]
165
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
166
set_location_assignment PIN_K21 -to GPIO_0[28]
167
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28]
168
set_location_assignment PIN_J22 -to GPIO_0[27]
169
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27]
170
set_location_assignment PIN_J21 -to GPIO_0[26]
171
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26]
172
set_location_assignment PIN_G22 -to GPIO_0[25]
173
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25]
174
set_location_assignment PIN_G21 -to GPIO_0[24]
175
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24]
176
set_location_assignment PIN_F22 -to GPIO_0[23]
177
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23]
178
set_location_assignment PIN_F21 -to GPIO_0[22]
179
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22]
180
set_location_assignment PIN_E22 -to GPIO_0[21]
181
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21]
182
set_location_assignment PIN_E21 -to GPIO_0[20]
183
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20]
184
set_location_assignment PIN_D22 -to GPIO_0[19]
185
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19]
186
set_location_assignment PIN_D21 -to GPIO_0[18]
187
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18]
188
set_location_assignment PIN_C22 -to GPIO_0[17]
189
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17]
190
set_location_assignment PIN_C21 -to GPIO_0[16]
191
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16]
192
set_location_assignment PIN_B20 -to GPIO_0[15]
193
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15]
194
set_location_assignment PIN_A20 -to GPIO_0[14]
195
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14]
196
set_location_assignment PIN_B19 -to GPIO_0[13]
197
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13]
198
set_location_assignment PIN_A19 -to GPIO_0[12]
199
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12]
200
set_location_assignment PIN_B18 -to GPIO_0[11]
201
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11]
202
set_location_assignment PIN_A18 -to GPIO_0[10]
203
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10]
204
set_location_assignment PIN_B17 -to GPIO_0[9]
205
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9]
206
set_location_assignment PIN_A17 -to GPIO_0[8]
207
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8]
208
set_location_assignment PIN_B16 -to GPIO_0[7]
209
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7]
210
set_location_assignment PIN_A16 -to GPIO_0[6]
211
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6]
212
set_location_assignment PIN_B15 -to GPIO_0[5]
213
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5]
214
set_location_assignment PIN_A15 -to GPIO_0[4]
215
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4]
216
set_location_assignment PIN_B14 -to GPIO_0[3]
217
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3]
218
set_location_assignment PIN_A14 -to GPIO_0[2]
219
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2]
220
set_location_assignment PIN_B13 -to GPIO_0[1]
221
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1]
222
set_location_assignment PIN_A13 -to GPIO_0[0]
223
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0]
224
set_location_assignment PIN_P18 -to GPIO_1[35]
225
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[35]
226
set_location_assignment PIN_P17 -to GPIO_1[34]
227
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[34]
228
set_location_assignment PIN_N15 -to GPIO_1[33]
229
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[33]
230
set_location_assignment PIN_P15 -to GPIO_1[32]
231
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[32]
232
set_location_assignment PIN_N21 -to GPIO_1[31]
233
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
234
set_location_assignment PIN_N22 -to GPIO_1[30]
235
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
236
set_location_assignment PIN_H18 -to GPIO_1[29]
237
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
238
set_location_assignment PIN_J15 -to GPIO_1[28]
239
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
240
set_location_assignment PIN_H17 -to GPIO_1[27]
241
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
242
set_location_assignment PIN_G17 -to GPIO_1[26]
243
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
244
set_location_assignment PIN_G18 -to GPIO_1[25]
245
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
246
set_location_assignment PIN_G20 -to GPIO_1[24]
247
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
248
set_location_assignment PIN_E18 -to GPIO_1[23]
249
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
250
set_location_assignment PIN_E19 -to GPIO_1[22]
251
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
252
set_location_assignment PIN_F20 -to GPIO_1[21]
253
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
254
set_location_assignment PIN_E20 -to GPIO_1[20]
255
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
256
set_location_assignment PIN_D20 -to GPIO_1[19]
257
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
258
set_location_assignment PIN_D19 -to GPIO_1[18]
259
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
260
set_location_assignment PIN_C20 -to GPIO_1[17]
261
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
262
set_location_assignment PIN_C19 -to GPIO_1[16]
263
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
264
set_location_assignment PIN_C18 -to GPIO_1[15]
265
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
266
set_location_assignment PIN_C17 -to GPIO_1[14]
267
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
268
set_location_assignment PIN_D16 -to GPIO_1[13]
269
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
270
set_location_assignment PIN_D15 -to GPIO_1[12]
271
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
272
set_location_assignment PIN_D14 -to GPIO_1[11]
273
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
274
set_location_assignment PIN_C14 -to GPIO_1[10]
275
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
276
set_location_assignment PIN_F13 -to GPIO_1[9]
277
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
278
set_location_assignment PIN_F12 -to GPIO_1[8]
279
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
280
set_location_assignment PIN_G16 -to GPIO_1[7]
281
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
282
set_location_assignment PIN_F15 -to GPIO_1[6]
283
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
284
set_location_assignment PIN_E15 -to GPIO_1[5]
285
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
286
set_location_assignment PIN_E14 -to GPIO_1[4]
287
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
288
set_location_assignment PIN_G15 -to GPIO_1[3]
289
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
290
set_location_assignment PIN_H14 -to GPIO_1[2]
291
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
292
set_location_assignment PIN_H13 -to GPIO_1[1]
293
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
294
set_location_assignment PIN_H12 -to GPIO_1[0]
295
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
296
set_location_assignment PIN_E2 -to HEX0[6]
297
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6]
298
set_location_assignment PIN_F1 -to HEX0[5]
299
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5]
300
set_location_assignment PIN_F2 -to HEX0[4]
301
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4]
302
set_location_assignment PIN_H1 -to HEX0[3]
303
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3]
304
set_location_assignment PIN_H2 -to HEX0[2]
305
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2]
306
set_location_assignment PIN_J1 -to HEX0[1]
307
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1]
308
set_location_assignment PIN_J2 -to HEX0[0]
309
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0]
310
set_location_assignment PIN_D1 -to HEX1[6]
311
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6]
312
set_location_assignment PIN_D2 -to HEX1[5]
313
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5]
314
set_location_assignment PIN_G3 -to HEX1[4]
315
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4]
316
set_location_assignment PIN_H4 -to HEX1[3]
317
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3]
318
set_location_assignment PIN_H5 -to HEX1[2]
319
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2]
320
set_location_assignment PIN_H6 -to HEX1[1]
321
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1]
322
set_location_assignment PIN_E1 -to HEX1[0]
323
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0]
324
set_location_assignment PIN_D3 -to HEX2[6]
325
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6]
326
set_location_assignment PIN_E4 -to HEX2[5]
327
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5]
328
set_location_assignment PIN_E3 -to HEX2[4]
329
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4]
330
set_location_assignment PIN_C1 -to HEX2[3]
331
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3]
332
set_location_assignment PIN_C2 -to HEX2[2]
333
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2]
334
set_location_assignment PIN_G6 -to HEX2[1]
335
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1]
336
set_location_assignment PIN_G5 -to HEX2[0]
337
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0]
338
set_location_assignment PIN_D4 -to HEX3[6]
339
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6]
340
set_location_assignment PIN_F3 -to HEX3[5]
341
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5]
342
set_location_assignment PIN_L8 -to HEX3[4]
343
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4]
344
set_location_assignment PIN_J4 -to HEX3[3]
345
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3]
346
set_location_assignment PIN_D6 -to HEX3[2]
347
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2]
348
set_location_assignment PIN_D5 -to HEX3[1]
349
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1]
350
set_location_assignment PIN_F4 -to HEX3[0]
351
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0]
352
set_location_assignment PIN_A3 -to I2C_SCLK
353
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK
354
set_location_assignment PIN_B3 -to I2C_SDAT
355
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT
356
set_location_assignment PIN_T21 -to KEY[3]
357
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[3]
358
set_location_assignment PIN_T22 -to KEY[2]
359
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2]
360
set_location_assignment PIN_R21 -to KEY[1]
361
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
362
set_location_assignment PIN_R22 -to KEY[0]
363
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
364
set_location_assignment PIN_Y21 -to LEDG[7]
365
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[7]
366
set_location_assignment PIN_Y22 -to LEDG[6]
367
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[6]
368
set_location_assignment PIN_W21 -to LEDG[5]
369
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[5]
370
set_location_assignment PIN_W22 -to LEDG[4]
371
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[4]
372
set_location_assignment PIN_V21 -to LEDG[3]
373
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[3]
374
set_location_assignment PIN_V22 -to LEDG[2]
375
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[2]
376
set_location_assignment PIN_U21 -to LEDG[1]
377
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[1]
378
set_location_assignment PIN_U22 -to LEDG[0]
379
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[0]
380
set_location_assignment PIN_R17 -to LEDR[9]
381
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9]
382
set_location_assignment PIN_R18 -to LEDR[8]
383
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8]
384
set_location_assignment PIN_U18 -to LEDR[7]
385
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7]
386
set_location_assignment PIN_Y18 -to LEDR[6]
387
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6]
388
set_location_assignment PIN_V19 -to LEDR[5]
389
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5]
390
set_location_assignment PIN_T18 -to LEDR[4]
391
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4]
392
set_location_assignment PIN_Y19 -to LEDR[3]
393
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3]
394
set_location_assignment PIN_U19 -to LEDR[2]
395
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2]
396
set_location_assignment PIN_R19 -to LEDR[1]
397
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1]
398
set_location_assignment PIN_R20 -to LEDR[0]
399
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0]
400
set_location_assignment PIN_H15 -to PS2_CLK
401
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK
402
set_location_assignment PIN_J14 -to PS2_DAT
403
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT
404
set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
405
set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
406
set_location_assignment PIN_T7 -to SRAM_ADDR[15]
407
set_location_assignment PIN_R10 -to SRAM_ADDR[14]
408
set_location_assignment PIN_U10 -to SRAM_ADDR[13]
409
set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
410
set_location_assignment PIN_T11 -to SRAM_ADDR[11]
411
set_location_assignment PIN_R11 -to SRAM_ADDR[10]
412
set_location_assignment PIN_W11 -to SRAM_ADDR[9]
413
set_location_assignment PIN_V11 -to SRAM_ADDR[8]
414
set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
415
set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
416
set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
417
set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
418
set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
419
set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
420
set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
421
set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
422
set_location_assignment PIN_AB5 -to SRAM_CE_N
423
set_location_assignment PIN_U8 -to SRAM_DQ[15]
424
set_location_assignment PIN_V8 -to SRAM_DQ[14]
425
set_location_assignment PIN_W8 -to SRAM_DQ[13]
426
set_location_assignment PIN_R9 -to SRAM_DQ[12]
427
set_location_assignment PIN_U9 -to SRAM_DQ[11]
428
set_location_assignment PIN_V9 -to SRAM_DQ[10]
429
set_location_assignment PIN_W9 -to SRAM_DQ[9]
430
set_location_assignment PIN_Y9 -to SRAM_DQ[8]
431
set_location_assignment PIN_AB9 -to SRAM_DQ[7]
432
set_location_assignment PIN_AA9 -to SRAM_DQ[6]
433
set_location_assignment PIN_AB8 -to SRAM_DQ[5]
434
set_location_assignment PIN_AA8 -to SRAM_DQ[4]
435
set_location_assignment PIN_AB7 -to SRAM_DQ[3]
436
set_location_assignment PIN_AA7 -to SRAM_DQ[2]
437
set_location_assignment PIN_AB6 -to SRAM_DQ[1]
438
set_location_assignment PIN_AA6 -to SRAM_DQ[0]
439
set_location_assignment PIN_Y7 -to SRAM_LB_N
440
set_location_assignment PIN_T8 -to SRAM_OE_N
441
set_location_assignment PIN_W7 -to SRAM_UB_N
442
set_location_assignment PIN_AA10 -to SRAM_WE_N
443
set_location_assignment PIN_L2 -to SW[9]
444
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
445
set_location_assignment PIN_M1 -to SW[8]
446
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
447
set_location_assignment PIN_M2 -to SW[7]
448
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
449
set_location_assignment PIN_U11 -to SW[6]
450
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
451
set_location_assignment PIN_U12 -to SW[5]
452
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
453
set_location_assignment PIN_W12 -to SW[4]
454
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
455
set_location_assignment PIN_V12 -to SW[3]
456
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
457
set_location_assignment PIN_M22 -to SW[2]
458
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
459
set_location_assignment PIN_L21 -to SW[1]
460
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
461
set_location_assignment PIN_L22 -to SW[0]
462
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
463
set_location_assignment PIN_C7 -to TCK
464
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TCK
465
set_location_assignment PIN_D8 -to TCS
466
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TCS
467
set_location_assignment PIN_E8 -to TDI
468
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TDI
469
set_location_assignment PIN_D7 -to TDO
470
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TDO
471
set_location_assignment PIN_F14 -to UART_RXD
472
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD
473
set_location_assignment PIN_G12 -to UART_TXD
474
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD
475
set_location_assignment PIN_B10 -to VGA_B[3]
476
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
477
set_location_assignment PIN_A10 -to VGA_B[2]
478
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
479
set_location_assignment PIN_D11 -to VGA_B[1]
480
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
481
set_location_assignment PIN_A9 -to VGA_B[0]
482
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
483
set_location_assignment PIN_A8 -to VGA_G[3]
484
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
485
set_location_assignment PIN_B9 -to VGA_G[2]
486
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
487
set_location_assignment PIN_C10 -to VGA_G[1]
488
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
489
set_location_assignment PIN_B8 -to VGA_G[0]
490
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
491
set_location_assignment PIN_A11 -to VGA_HS
492
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
493
set_location_assignment PIN_B7 -to VGA_R[3]
494
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
495
set_location_assignment PIN_A7 -to VGA_R[2]
496
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
497
set_location_assignment PIN_C9 -to VGA_R[1]
498
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
499
set_location_assignment PIN_D9 -to VGA_R[0]
500
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
501
set_location_assignment PIN_B11 -to VGA_VS
502
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
503
 
504
set_global_assignment -name VHDL_FILE spi_slave.vhd
505
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id TOP_LEVEL_ENTITY
506
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id TOP_LEVEL_ENTITY
507
set_global_assignment -name PARTITION_COLOR 16764057 -section_id TOP_LEVEL_ENTITY
508
set_global_assignment -name VHDL_FILE index_table.vhd
509 4 microengin
set_global_assignment -name SMART_RECOMPILE ON
510
set_global_assignment -name ENABLE_DRC_SETTINGS ON
511
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
512
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
513
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
514
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
515
set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM
516
set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII NORMAL
517
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
518
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON
519
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
520
set_global_assignment -name MUX_RESTRUCTURE OFF
521
set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT"
522
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
523
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
524
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
525
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON
526
set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 4.0
527
set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 4.0
528
 
529 2 microengin
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id TOP_LEVEL_ENTITY

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.