OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_altera_de1/] [index_table.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 microengin
-- IOTA Pearl Diver VHDL Port
2
--
3
-- Written 2018 by Thomas Pototschnig <microengineer18@gmail.com>
4
--
5
-- This source code is currently licensed under
6
-- Attribution-NonCommercial 4.0 International (CC BY-NC 4.0)
7
-- 
8
-- http://www.microengineer.eu
9
-- 
10
-- If you like my project please consider a donation to
11
--
12
-- LLEYMHRKXWSPMGCMZFPKKTHSEMYJTNAZXSAYZGQUEXLXEEWPXUNWBFDWESOJVLHQHXOPQEYXGIRBYTLRWHMJAOSHUY
13
--
14
-- As soon as donations reach 1000MIOTA, everything will become
15
-- GPL and open for any use - commercial included.
16
 
17
library ieee;
18
 
19
use ieee.std_logic_1164.all;
20
use ieee.numeric_std.all;
21
 
22
package index_table is
23
 
24
type const_index_table is array ( 0 to 729) of integer range 0 to 728;
25
  constant index_table : const_index_table := (
26
 
27
                1 => 364,
28
                2 => 728,
29
                3 => 363,
30
                4 => 727,
31
                5 => 362,
32
                6 => 726,
33
                7 => 361,
34
                8 => 725,
35
                9 => 360,
36
                10 => 724,
37
                11 => 359,
38
                12 => 723,
39
                13 => 358,
40
                14 => 722,
41
                15 => 357,
42
                16 => 721,
43
                17 => 356,
44
                18 => 720,
45
                19 => 355,
46
                20 => 719,
47
                21 => 354,
48
                22 => 718,
49
                23 => 353,
50
                24 => 717,
51
                25 => 352,
52
                26 => 716,
53
                27 => 351,
54
                28 => 715,
55
                29 => 350,
56
                30 => 714,
57
                31 => 349,
58
                32 => 713,
59
                33 => 348,
60
                34 => 712,
61
                35 => 347,
62
                36 => 711,
63
                37 => 346,
64
                38 => 710,
65
                39 => 345,
66
                40 => 709,
67
                41 => 344,
68
                42 => 708,
69
                43 => 343,
70
                44 => 707,
71
                45 => 342,
72
                46 => 706,
73
                47 => 341,
74
                48 => 705,
75
                49 => 340,
76
                50 => 704,
77
                51 => 339,
78
                52 => 703,
79
                53 => 338,
80
                54 => 702,
81
                55 => 337,
82
                56 => 701,
83
                57 => 336,
84
                58 => 700,
85
                59 => 335,
86
                60 => 699,
87
                61 => 334,
88
                62 => 698,
89
                63 => 333,
90
                64 => 697,
91
                65 => 332,
92
                66 => 696,
93
                67 => 331,
94
                68 => 695,
95
                69 => 330,
96
                70 => 694,
97
                71 => 329,
98
                72 => 693,
99
                73 => 328,
100
                74 => 692,
101
                75 => 327,
102
                76 => 691,
103
                77 => 326,
104
                78 => 690,
105
                79 => 325,
106
                80 => 689,
107
                81 => 324,
108
                82 => 688,
109
                83 => 323,
110
                84 => 687,
111
                85 => 322,
112
                86 => 686,
113
                87 => 321,
114
                88 => 685,
115
                89 => 320,
116
                90 => 684,
117
                91 => 319,
118
                92 => 683,
119
                93 => 318,
120
                94 => 682,
121
                95 => 317,
122
                96 => 681,
123
                97 => 316,
124
                98 => 680,
125
                99 => 315,
126
                100 => 679,
127
                101 => 314,
128
                102 => 678,
129
                103 => 313,
130
                104 => 677,
131
                105 => 312,
132
                106 => 676,
133
                107 => 311,
134
                108 => 675,
135
                109 => 310,
136
                110 => 674,
137
                111 => 309,
138
                112 => 673,
139
                113 => 308,
140
                114 => 672,
141
                115 => 307,
142
                116 => 671,
143
                117 => 306,
144
                118 => 670,
145
                119 => 305,
146
                120 => 669,
147
                121 => 304,
148
                122 => 668,
149
                123 => 303,
150
                124 => 667,
151
                125 => 302,
152
                126 => 666,
153
                127 => 301,
154
                128 => 665,
155
                129 => 300,
156
                130 => 664,
157
                131 => 299,
158
                132 => 663,
159
                133 => 298,
160
                134 => 662,
161
                135 => 297,
162
                136 => 661,
163
                137 => 296,
164
                138 => 660,
165
                139 => 295,
166
                140 => 659,
167
                141 => 294,
168
                142 => 658,
169
                143 => 293,
170
                144 => 657,
171
                145 => 292,
172
                146 => 656,
173
                147 => 291,
174
                148 => 655,
175
                149 => 290,
176
                150 => 654,
177
                151 => 289,
178
                152 => 653,
179
                153 => 288,
180
                154 => 652,
181
                155 => 287,
182
                156 => 651,
183
                157 => 286,
184
                158 => 650,
185
                159 => 285,
186
                160 => 649,
187
                161 => 284,
188
                162 => 648,
189
                163 => 283,
190
                164 => 647,
191
                165 => 282,
192
                166 => 646,
193
                167 => 281,
194
                168 => 645,
195
                169 => 280,
196
                170 => 644,
197
                171 => 279,
198
                172 => 643,
199
                173 => 278,
200
                174 => 642,
201
                175 => 277,
202
                176 => 641,
203
                177 => 276,
204
                178 => 640,
205
                179 => 275,
206
                180 => 639,
207
                181 => 274,
208
                182 => 638,
209
                183 => 273,
210
                184 => 637,
211
                185 => 272,
212
                186 => 636,
213
                187 => 271,
214
                188 => 635,
215
                189 => 270,
216
                190 => 634,
217
                191 => 269,
218
                192 => 633,
219
                193 => 268,
220
                194 => 632,
221
                195 => 267,
222
                196 => 631,
223
                197 => 266,
224
                198 => 630,
225
                199 => 265,
226
                200 => 629,
227
                201 => 264,
228
                202 => 628,
229
                203 => 263,
230
                204 => 627,
231
                205 => 262,
232
                206 => 626,
233
                207 => 261,
234
                208 => 625,
235
                209 => 260,
236
                210 => 624,
237
                211 => 259,
238
                212 => 623,
239
                213 => 258,
240
                214 => 622,
241
                215 => 257,
242
                216 => 621,
243
                217 => 256,
244
                218 => 620,
245
                219 => 255,
246
                220 => 619,
247
                221 => 254,
248
                222 => 618,
249
                223 => 253,
250
                224 => 617,
251
                225 => 252,
252
                226 => 616,
253
                227 => 251,
254
                228 => 615,
255
                229 => 250,
256
                230 => 614,
257
                231 => 249,
258
                232 => 613,
259
                233 => 248,
260
                234 => 612,
261
                235 => 247,
262
                236 => 611,
263
                237 => 246,
264
                238 => 610,
265
                239 => 245,
266
                240 => 609,
267
                241 => 244,
268
                242 => 608,
269
                243 => 243,
270
                244 => 607,
271
                245 => 242,
272
                246 => 606,
273
                247 => 241,
274
                248 => 605,
275
                249 => 240,
276
                250 => 604,
277
                251 => 239,
278
                252 => 603,
279
                253 => 238,
280
                254 => 602,
281
                255 => 237,
282
                256 => 601,
283
                257 => 236,
284
                258 => 600,
285
                259 => 235,
286
                260 => 599,
287
                261 => 234,
288
                262 => 598,
289
                263 => 233,
290
                264 => 597,
291
                265 => 232,
292
                266 => 596,
293
                267 => 231,
294
                268 => 595,
295
                269 => 230,
296
                270 => 594,
297
                271 => 229,
298
                272 => 593,
299
                273 => 228,
300
                274 => 592,
301
                275 => 227,
302
                276 => 591,
303
                277 => 226,
304
                278 => 590,
305
                279 => 225,
306
                280 => 589,
307
                281 => 224,
308
                282 => 588,
309
                283 => 223,
310
                284 => 587,
311
                285 => 222,
312
                286 => 586,
313
                287 => 221,
314
                288 => 585,
315
                289 => 220,
316
                290 => 584,
317
                291 => 219,
318
                292 => 583,
319
                293 => 218,
320
                294 => 582,
321
                295 => 217,
322
                296 => 581,
323
                297 => 216,
324
                298 => 580,
325
                299 => 215,
326
                300 => 579,
327
                301 => 214,
328
                302 => 578,
329
                303 => 213,
330
                304 => 577,
331
                305 => 212,
332
                306 => 576,
333
                307 => 211,
334
                308 => 575,
335
                309 => 210,
336
                310 => 574,
337
                311 => 209,
338
                312 => 573,
339
                313 => 208,
340
                314 => 572,
341
                315 => 207,
342
                316 => 571,
343
                317 => 206,
344
                318 => 570,
345
                319 => 205,
346
                320 => 569,
347
                321 => 204,
348
                322 => 568,
349
                323 => 203,
350
                324 => 567,
351
                325 => 202,
352
                326 => 566,
353
                327 => 201,
354
                328 => 565,
355
                329 => 200,
356
                330 => 564,
357
                331 => 199,
358
                332 => 563,
359
                333 => 198,
360
                334 => 562,
361
                335 => 197,
362
                336 => 561,
363
                337 => 196,
364
                338 => 560,
365
                339 => 195,
366
                340 => 559,
367
                341 => 194,
368
                342 => 558,
369
                343 => 193,
370
                344 => 557,
371
                345 => 192,
372
                346 => 556,
373
                347 => 191,
374
                348 => 555,
375
                349 => 190,
376
                350 => 554,
377
                351 => 189,
378
                352 => 553,
379
                353 => 188,
380
                354 => 552,
381
                355 => 187,
382
                356 => 551,
383
                357 => 186,
384
                358 => 550,
385
                359 => 185,
386
                360 => 549,
387
                361 => 184,
388
                362 => 548,
389
                363 => 183,
390
                364 => 547,
391
                365 => 182,
392
                366 => 546,
393
                367 => 181,
394
                368 => 545,
395
                369 => 180,
396
                370 => 544,
397
                371 => 179,
398
                372 => 543,
399
                373 => 178,
400
                374 => 542,
401
                375 => 177,
402
                376 => 541,
403
                377 => 176,
404
                378 => 540,
405
                379 => 175,
406
                380 => 539,
407
                381 => 174,
408
                382 => 538,
409
                383 => 173,
410
                384 => 537,
411
                385 => 172,
412
                386 => 536,
413
                387 => 171,
414
                388 => 535,
415
                389 => 170,
416
                390 => 534,
417
                391 => 169,
418
                392 => 533,
419
                393 => 168,
420
                394 => 532,
421
                395 => 167,
422
                396 => 531,
423
                397 => 166,
424
                398 => 530,
425
                399 => 165,
426
                400 => 529,
427
                401 => 164,
428
                402 => 528,
429
                403 => 163,
430
                404 => 527,
431
                405 => 162,
432
                406 => 526,
433
                407 => 161,
434
                408 => 525,
435
                409 => 160,
436
                410 => 524,
437
                411 => 159,
438
                412 => 523,
439
                413 => 158,
440
                414 => 522,
441
                415 => 157,
442
                416 => 521,
443
                417 => 156,
444
                418 => 520,
445
                419 => 155,
446
                420 => 519,
447
                421 => 154,
448
                422 => 518,
449
                423 => 153,
450
                424 => 517,
451
                425 => 152,
452
                426 => 516,
453
                427 => 151,
454
                428 => 515,
455
                429 => 150,
456
                430 => 514,
457
                431 => 149,
458
                432 => 513,
459
                433 => 148,
460
                434 => 512,
461
                435 => 147,
462
                436 => 511,
463
                437 => 146,
464
                438 => 510,
465
                439 => 145,
466
                440 => 509,
467
                441 => 144,
468
                442 => 508,
469
                443 => 143,
470
                444 => 507,
471
                445 => 142,
472
                446 => 506,
473
                447 => 141,
474
                448 => 505,
475
                449 => 140,
476
                450 => 504,
477
                451 => 139,
478
                452 => 503,
479
                453 => 138,
480
                454 => 502,
481
                455 => 137,
482
                456 => 501,
483
                457 => 136,
484
                458 => 500,
485
                459 => 135,
486
                460 => 499,
487
                461 => 134,
488
                462 => 498,
489
                463 => 133,
490
                464 => 497,
491
                465 => 132,
492
                466 => 496,
493
                467 => 131,
494
                468 => 495,
495
                469 => 130,
496
                470 => 494,
497
                471 => 129,
498
                472 => 493,
499
                473 => 128,
500
                474 => 492,
501
                475 => 127,
502
                476 => 491,
503
                477 => 126,
504
                478 => 490,
505
                479 => 125,
506
                480 => 489,
507
                481 => 124,
508
                482 => 488,
509
                483 => 123,
510
                484 => 487,
511
                485 => 122,
512
                486 => 486,
513
                487 => 121,
514
                488 => 485,
515
                489 => 120,
516
                490 => 484,
517
                491 => 119,
518
                492 => 483,
519
                493 => 118,
520
                494 => 482,
521
                495 => 117,
522
                496 => 481,
523
                497 => 116,
524
                498 => 480,
525
                499 => 115,
526
                500 => 479,
527
                501 => 114,
528
                502 => 478,
529
                503 => 113,
530
                504 => 477,
531
                505 => 112,
532
                506 => 476,
533
                507 => 111,
534
                508 => 475,
535
                509 => 110,
536
                510 => 474,
537
                511 => 109,
538
                512 => 473,
539
                513 => 108,
540
                514 => 472,
541
                515 => 107,
542
                516 => 471,
543
                517 => 106,
544
                518 => 470,
545
                519 => 105,
546
                520 => 469,
547
                521 => 104,
548
                522 => 468,
549
                523 => 103,
550
                524 => 467,
551
                525 => 102,
552
                526 => 466,
553
                527 => 101,
554
                528 => 465,
555
                529 => 100,
556
                530 => 464,
557
                531 => 99,
558
                532 => 463,
559
                533 => 98,
560
                534 => 462,
561
                535 => 97,
562
                536 => 461,
563
                537 => 96,
564
                538 => 460,
565
                539 => 95,
566
                540 => 459,
567
                541 => 94,
568
                542 => 458,
569
                543 => 93,
570
                544 => 457,
571
                545 => 92,
572
                546 => 456,
573
                547 => 91,
574
                548 => 455,
575
                549 => 90,
576
                550 => 454,
577
                551 => 89,
578
                552 => 453,
579
                553 => 88,
580
                554 => 452,
581
                555 => 87,
582
                556 => 451,
583
                557 => 86,
584
                558 => 450,
585
                559 => 85,
586
                560 => 449,
587
                561 => 84,
588
                562 => 448,
589
                563 => 83,
590
                564 => 447,
591
                565 => 82,
592
                566 => 446,
593
                567 => 81,
594
                568 => 445,
595
                569 => 80,
596
                570 => 444,
597
                571 => 79,
598
                572 => 443,
599
                573 => 78,
600
                574 => 442,
601
                575 => 77,
602
                576 => 441,
603
                577 => 76,
604
                578 => 440,
605
                579 => 75,
606
                580 => 439,
607
                581 => 74,
608
                582 => 438,
609
                583 => 73,
610
                584 => 437,
611
                585 => 72,
612
                586 => 436,
613
                587 => 71,
614
                588 => 435,
615
                589 => 70,
616
                590 => 434,
617
                591 => 69,
618
                592 => 433,
619
                593 => 68,
620
                594 => 432,
621
                595 => 67,
622
                596 => 431,
623
                597 => 66,
624
                598 => 430,
625
                599 => 65,
626
                600 => 429,
627
                601 => 64,
628
                602 => 428,
629
                603 => 63,
630
                604 => 427,
631
                605 => 62,
632
                606 => 426,
633
                607 => 61,
634
                608 => 425,
635
                609 => 60,
636
                610 => 424,
637
                611 => 59,
638
                612 => 423,
639
                613 => 58,
640
                614 => 422,
641
                615 => 57,
642
                616 => 421,
643
                617 => 56,
644
                618 => 420,
645
                619 => 55,
646
                620 => 419,
647
                621 => 54,
648
                622 => 418,
649
                623 => 53,
650
                624 => 417,
651
                625 => 52,
652
                626 => 416,
653
                627 => 51,
654
                628 => 415,
655
                629 => 50,
656
                630 => 414,
657
                631 => 49,
658
                632 => 413,
659
                633 => 48,
660
                634 => 412,
661
                635 => 47,
662
                636 => 411,
663
                637 => 46,
664
                638 => 410,
665
                639 => 45,
666
                640 => 409,
667
                641 => 44,
668
                642 => 408,
669
                643 => 43,
670
                644 => 407,
671
                645 => 42,
672
                646 => 406,
673
                647 => 41,
674
                648 => 405,
675
                649 => 40,
676
                650 => 404,
677
                651 => 39,
678
                652 => 403,
679
                653 => 38,
680
                654 => 402,
681
                655 => 37,
682
                656 => 401,
683
                657 => 36,
684
                658 => 400,
685
                659 => 35,
686
                660 => 399,
687
                661 => 34,
688
                662 => 398,
689
                663 => 33,
690
                664 => 397,
691
                665 => 32,
692
                666 => 396,
693
                667 => 31,
694
                668 => 395,
695
                669 => 30,
696
                670 => 394,
697
                671 => 29,
698
                672 => 393,
699
                673 => 28,
700
                674 => 392,
701
                675 => 27,
702
                676 => 391,
703
                677 => 26,
704
                678 => 390,
705
                679 => 25,
706
                680 => 389,
707
                681 => 24,
708
                682 => 388,
709
                683 => 23,
710
                684 => 387,
711
                685 => 22,
712
                686 => 386,
713
                687 => 21,
714
                688 => 385,
715
                689 => 20,
716
                690 => 384,
717
                691 => 19,
718
                692 => 383,
719
                693 => 18,
720
                694 => 382,
721
                695 => 17,
722
                696 => 381,
723
                697 => 16,
724
                698 => 380,
725
                699 => 15,
726
                700 => 379,
727
                701 => 14,
728
                702 => 378,
729
                703 => 13,
730
                704 => 377,
731
                705 => 12,
732
                706 => 376,
733
                707 => 11,
734
                708 => 375,
735
                709 => 10,
736
                710 => 374,
737
                711 => 9,
738
                712 => 373,
739
                713 => 8,
740
                714 => 372,
741
                715 => 7,
742
                716 => 371,
743
                717 => 6,
744
                718 => 370,
745
                719 => 5,
746
                720 => 369,
747
                721 => 4,
748
                722 => 368,
749
                723 => 3,
750
                724 => 367,
751
                725 => 2,
752
                726 => 366,
753
                727 => 1,
754
                728 => 365,
755
                729 => 0
756
        );
757
 
758
 
759
end index_table;
760
 
761
package body index_table is
762
   -- subprogram bodies here
763
end index_table;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.