OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_cyclone10_lp/] [index_table.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 microengin
-- IOTA Pearl Diver VHDL Port
2
--
3
-- 2018 by Thomas Pototschnig <microengineer18@gmail.com,
4
-- http://microengineer.eu
5
-- discord: pmaxuw#8292
6
--
7
-- Permission is hereby granted, free of charge, to any person obtaining
8
-- a copy of this software and associated documentation files (the
9
-- "Software"), to deal in the Software without restriction, including
10
-- without limitation the rights to use, copy, modify, merge, publish,
11
-- distribute, sublicense, and/or sell copies of the Software, and to
12
-- permit persons to whom the Software is furnished to do so, subject to
13
-- the following conditions:
14
-- 
15
-- The above copyright notice and this permission notice shall be
16
-- included in all copies or substantial portions of the Software.
17
-- 
18
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
19
-- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
20
-- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
21
-- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE
22
-- LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION
23
-- OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION
24
-- WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWAR
25
 
26 4 microengin
library ieee;
27
 
28
use ieee.std_logic_1164.all;
29
use ieee.numeric_std.all;
30
 
31
package index_table is
32
 
33
type const_index_table is array ( 0 to 729) of integer range 0 to 728;
34
  constant index_table : const_index_table := (
35
 
36
                1 => 364,
37
                2 => 728,
38
                3 => 363,
39
                4 => 727,
40
                5 => 362,
41
                6 => 726,
42
                7 => 361,
43
                8 => 725,
44
                9 => 360,
45
                10 => 724,
46
                11 => 359,
47
                12 => 723,
48
                13 => 358,
49
                14 => 722,
50
                15 => 357,
51
                16 => 721,
52
                17 => 356,
53
                18 => 720,
54
                19 => 355,
55
                20 => 719,
56
                21 => 354,
57
                22 => 718,
58
                23 => 353,
59
                24 => 717,
60
                25 => 352,
61
                26 => 716,
62
                27 => 351,
63
                28 => 715,
64
                29 => 350,
65
                30 => 714,
66
                31 => 349,
67
                32 => 713,
68
                33 => 348,
69
                34 => 712,
70
                35 => 347,
71
                36 => 711,
72
                37 => 346,
73
                38 => 710,
74
                39 => 345,
75
                40 => 709,
76
                41 => 344,
77
                42 => 708,
78
                43 => 343,
79
                44 => 707,
80
                45 => 342,
81
                46 => 706,
82
                47 => 341,
83
                48 => 705,
84
                49 => 340,
85
                50 => 704,
86
                51 => 339,
87
                52 => 703,
88
                53 => 338,
89
                54 => 702,
90
                55 => 337,
91
                56 => 701,
92
                57 => 336,
93
                58 => 700,
94
                59 => 335,
95
                60 => 699,
96
                61 => 334,
97
                62 => 698,
98
                63 => 333,
99
                64 => 697,
100
                65 => 332,
101
                66 => 696,
102
                67 => 331,
103
                68 => 695,
104
                69 => 330,
105
                70 => 694,
106
                71 => 329,
107
                72 => 693,
108
                73 => 328,
109
                74 => 692,
110
                75 => 327,
111
                76 => 691,
112
                77 => 326,
113
                78 => 690,
114
                79 => 325,
115
                80 => 689,
116
                81 => 324,
117
                82 => 688,
118
                83 => 323,
119
                84 => 687,
120
                85 => 322,
121
                86 => 686,
122
                87 => 321,
123
                88 => 685,
124
                89 => 320,
125
                90 => 684,
126
                91 => 319,
127
                92 => 683,
128
                93 => 318,
129
                94 => 682,
130
                95 => 317,
131
                96 => 681,
132
                97 => 316,
133
                98 => 680,
134
                99 => 315,
135
                100 => 679,
136
                101 => 314,
137
                102 => 678,
138
                103 => 313,
139
                104 => 677,
140
                105 => 312,
141
                106 => 676,
142
                107 => 311,
143
                108 => 675,
144
                109 => 310,
145
                110 => 674,
146
                111 => 309,
147
                112 => 673,
148
                113 => 308,
149
                114 => 672,
150
                115 => 307,
151
                116 => 671,
152
                117 => 306,
153
                118 => 670,
154
                119 => 305,
155
                120 => 669,
156
                121 => 304,
157
                122 => 668,
158
                123 => 303,
159
                124 => 667,
160
                125 => 302,
161
                126 => 666,
162
                127 => 301,
163
                128 => 665,
164
                129 => 300,
165
                130 => 664,
166
                131 => 299,
167
                132 => 663,
168
                133 => 298,
169
                134 => 662,
170
                135 => 297,
171
                136 => 661,
172
                137 => 296,
173
                138 => 660,
174
                139 => 295,
175
                140 => 659,
176
                141 => 294,
177
                142 => 658,
178
                143 => 293,
179
                144 => 657,
180
                145 => 292,
181
                146 => 656,
182
                147 => 291,
183
                148 => 655,
184
                149 => 290,
185
                150 => 654,
186
                151 => 289,
187
                152 => 653,
188
                153 => 288,
189
                154 => 652,
190
                155 => 287,
191
                156 => 651,
192
                157 => 286,
193
                158 => 650,
194
                159 => 285,
195
                160 => 649,
196
                161 => 284,
197
                162 => 648,
198
                163 => 283,
199
                164 => 647,
200
                165 => 282,
201
                166 => 646,
202
                167 => 281,
203
                168 => 645,
204
                169 => 280,
205
                170 => 644,
206
                171 => 279,
207
                172 => 643,
208
                173 => 278,
209
                174 => 642,
210
                175 => 277,
211
                176 => 641,
212
                177 => 276,
213
                178 => 640,
214
                179 => 275,
215
                180 => 639,
216
                181 => 274,
217
                182 => 638,
218
                183 => 273,
219
                184 => 637,
220
                185 => 272,
221
                186 => 636,
222
                187 => 271,
223
                188 => 635,
224
                189 => 270,
225
                190 => 634,
226
                191 => 269,
227
                192 => 633,
228
                193 => 268,
229
                194 => 632,
230
                195 => 267,
231
                196 => 631,
232
                197 => 266,
233
                198 => 630,
234
                199 => 265,
235
                200 => 629,
236
                201 => 264,
237
                202 => 628,
238
                203 => 263,
239
                204 => 627,
240
                205 => 262,
241
                206 => 626,
242
                207 => 261,
243
                208 => 625,
244
                209 => 260,
245
                210 => 624,
246
                211 => 259,
247
                212 => 623,
248
                213 => 258,
249
                214 => 622,
250
                215 => 257,
251
                216 => 621,
252
                217 => 256,
253
                218 => 620,
254
                219 => 255,
255
                220 => 619,
256
                221 => 254,
257
                222 => 618,
258
                223 => 253,
259
                224 => 617,
260
                225 => 252,
261
                226 => 616,
262
                227 => 251,
263
                228 => 615,
264
                229 => 250,
265
                230 => 614,
266
                231 => 249,
267
                232 => 613,
268
                233 => 248,
269
                234 => 612,
270
                235 => 247,
271
                236 => 611,
272
                237 => 246,
273
                238 => 610,
274
                239 => 245,
275
                240 => 609,
276
                241 => 244,
277
                242 => 608,
278
                243 => 243,
279
                244 => 607,
280
                245 => 242,
281
                246 => 606,
282
                247 => 241,
283
                248 => 605,
284
                249 => 240,
285
                250 => 604,
286
                251 => 239,
287
                252 => 603,
288
                253 => 238,
289
                254 => 602,
290
                255 => 237,
291
                256 => 601,
292
                257 => 236,
293
                258 => 600,
294
                259 => 235,
295
                260 => 599,
296
                261 => 234,
297
                262 => 598,
298
                263 => 233,
299
                264 => 597,
300
                265 => 232,
301
                266 => 596,
302
                267 => 231,
303
                268 => 595,
304
                269 => 230,
305
                270 => 594,
306
                271 => 229,
307
                272 => 593,
308
                273 => 228,
309
                274 => 592,
310
                275 => 227,
311
                276 => 591,
312
                277 => 226,
313
                278 => 590,
314
                279 => 225,
315
                280 => 589,
316
                281 => 224,
317
                282 => 588,
318
                283 => 223,
319
                284 => 587,
320
                285 => 222,
321
                286 => 586,
322
                287 => 221,
323
                288 => 585,
324
                289 => 220,
325
                290 => 584,
326
                291 => 219,
327
                292 => 583,
328
                293 => 218,
329
                294 => 582,
330
                295 => 217,
331
                296 => 581,
332
                297 => 216,
333
                298 => 580,
334
                299 => 215,
335
                300 => 579,
336
                301 => 214,
337
                302 => 578,
338
                303 => 213,
339
                304 => 577,
340
                305 => 212,
341
                306 => 576,
342
                307 => 211,
343
                308 => 575,
344
                309 => 210,
345
                310 => 574,
346
                311 => 209,
347
                312 => 573,
348
                313 => 208,
349
                314 => 572,
350
                315 => 207,
351
                316 => 571,
352
                317 => 206,
353
                318 => 570,
354
                319 => 205,
355
                320 => 569,
356
                321 => 204,
357
                322 => 568,
358
                323 => 203,
359
                324 => 567,
360
                325 => 202,
361
                326 => 566,
362
                327 => 201,
363
                328 => 565,
364
                329 => 200,
365
                330 => 564,
366
                331 => 199,
367
                332 => 563,
368
                333 => 198,
369
                334 => 562,
370
                335 => 197,
371
                336 => 561,
372
                337 => 196,
373
                338 => 560,
374
                339 => 195,
375
                340 => 559,
376
                341 => 194,
377
                342 => 558,
378
                343 => 193,
379
                344 => 557,
380
                345 => 192,
381
                346 => 556,
382
                347 => 191,
383
                348 => 555,
384
                349 => 190,
385
                350 => 554,
386
                351 => 189,
387
                352 => 553,
388
                353 => 188,
389
                354 => 552,
390
                355 => 187,
391
                356 => 551,
392
                357 => 186,
393
                358 => 550,
394
                359 => 185,
395
                360 => 549,
396
                361 => 184,
397
                362 => 548,
398
                363 => 183,
399
                364 => 547,
400
                365 => 182,
401
                366 => 546,
402
                367 => 181,
403
                368 => 545,
404
                369 => 180,
405
                370 => 544,
406
                371 => 179,
407
                372 => 543,
408
                373 => 178,
409
                374 => 542,
410
                375 => 177,
411
                376 => 541,
412
                377 => 176,
413
                378 => 540,
414
                379 => 175,
415
                380 => 539,
416
                381 => 174,
417
                382 => 538,
418
                383 => 173,
419
                384 => 537,
420
                385 => 172,
421
                386 => 536,
422
                387 => 171,
423
                388 => 535,
424
                389 => 170,
425
                390 => 534,
426
                391 => 169,
427
                392 => 533,
428
                393 => 168,
429
                394 => 532,
430
                395 => 167,
431
                396 => 531,
432
                397 => 166,
433
                398 => 530,
434
                399 => 165,
435
                400 => 529,
436
                401 => 164,
437
                402 => 528,
438
                403 => 163,
439
                404 => 527,
440
                405 => 162,
441
                406 => 526,
442
                407 => 161,
443
                408 => 525,
444
                409 => 160,
445
                410 => 524,
446
                411 => 159,
447
                412 => 523,
448
                413 => 158,
449
                414 => 522,
450
                415 => 157,
451
                416 => 521,
452
                417 => 156,
453
                418 => 520,
454
                419 => 155,
455
                420 => 519,
456
                421 => 154,
457
                422 => 518,
458
                423 => 153,
459
                424 => 517,
460
                425 => 152,
461
                426 => 516,
462
                427 => 151,
463
                428 => 515,
464
                429 => 150,
465
                430 => 514,
466
                431 => 149,
467
                432 => 513,
468
                433 => 148,
469
                434 => 512,
470
                435 => 147,
471
                436 => 511,
472
                437 => 146,
473
                438 => 510,
474
                439 => 145,
475
                440 => 509,
476
                441 => 144,
477
                442 => 508,
478
                443 => 143,
479
                444 => 507,
480
                445 => 142,
481
                446 => 506,
482
                447 => 141,
483
                448 => 505,
484
                449 => 140,
485
                450 => 504,
486
                451 => 139,
487
                452 => 503,
488
                453 => 138,
489
                454 => 502,
490
                455 => 137,
491
                456 => 501,
492
                457 => 136,
493
                458 => 500,
494
                459 => 135,
495
                460 => 499,
496
                461 => 134,
497
                462 => 498,
498
                463 => 133,
499
                464 => 497,
500
                465 => 132,
501
                466 => 496,
502
                467 => 131,
503
                468 => 495,
504
                469 => 130,
505
                470 => 494,
506
                471 => 129,
507
                472 => 493,
508
                473 => 128,
509
                474 => 492,
510
                475 => 127,
511
                476 => 491,
512
                477 => 126,
513
                478 => 490,
514
                479 => 125,
515
                480 => 489,
516
                481 => 124,
517
                482 => 488,
518
                483 => 123,
519
                484 => 487,
520
                485 => 122,
521
                486 => 486,
522
                487 => 121,
523
                488 => 485,
524
                489 => 120,
525
                490 => 484,
526
                491 => 119,
527
                492 => 483,
528
                493 => 118,
529
                494 => 482,
530
                495 => 117,
531
                496 => 481,
532
                497 => 116,
533
                498 => 480,
534
                499 => 115,
535
                500 => 479,
536
                501 => 114,
537
                502 => 478,
538
                503 => 113,
539
                504 => 477,
540
                505 => 112,
541
                506 => 476,
542
                507 => 111,
543
                508 => 475,
544
                509 => 110,
545
                510 => 474,
546
                511 => 109,
547
                512 => 473,
548
                513 => 108,
549
                514 => 472,
550
                515 => 107,
551
                516 => 471,
552
                517 => 106,
553
                518 => 470,
554
                519 => 105,
555
                520 => 469,
556
                521 => 104,
557
                522 => 468,
558
                523 => 103,
559
                524 => 467,
560
                525 => 102,
561
                526 => 466,
562
                527 => 101,
563
                528 => 465,
564
                529 => 100,
565
                530 => 464,
566
                531 => 99,
567
                532 => 463,
568
                533 => 98,
569
                534 => 462,
570
                535 => 97,
571
                536 => 461,
572
                537 => 96,
573
                538 => 460,
574
                539 => 95,
575
                540 => 459,
576
                541 => 94,
577
                542 => 458,
578
                543 => 93,
579
                544 => 457,
580
                545 => 92,
581
                546 => 456,
582
                547 => 91,
583
                548 => 455,
584
                549 => 90,
585
                550 => 454,
586
                551 => 89,
587
                552 => 453,
588
                553 => 88,
589
                554 => 452,
590
                555 => 87,
591
                556 => 451,
592
                557 => 86,
593
                558 => 450,
594
                559 => 85,
595
                560 => 449,
596
                561 => 84,
597
                562 => 448,
598
                563 => 83,
599
                564 => 447,
600
                565 => 82,
601
                566 => 446,
602
                567 => 81,
603
                568 => 445,
604
                569 => 80,
605
                570 => 444,
606
                571 => 79,
607
                572 => 443,
608
                573 => 78,
609
                574 => 442,
610
                575 => 77,
611
                576 => 441,
612
                577 => 76,
613
                578 => 440,
614
                579 => 75,
615
                580 => 439,
616
                581 => 74,
617
                582 => 438,
618
                583 => 73,
619
                584 => 437,
620
                585 => 72,
621
                586 => 436,
622
                587 => 71,
623
                588 => 435,
624
                589 => 70,
625
                590 => 434,
626
                591 => 69,
627
                592 => 433,
628
                593 => 68,
629
                594 => 432,
630
                595 => 67,
631
                596 => 431,
632
                597 => 66,
633
                598 => 430,
634
                599 => 65,
635
                600 => 429,
636
                601 => 64,
637
                602 => 428,
638
                603 => 63,
639
                604 => 427,
640
                605 => 62,
641
                606 => 426,
642
                607 => 61,
643
                608 => 425,
644
                609 => 60,
645
                610 => 424,
646
                611 => 59,
647
                612 => 423,
648
                613 => 58,
649
                614 => 422,
650
                615 => 57,
651
                616 => 421,
652
                617 => 56,
653
                618 => 420,
654
                619 => 55,
655
                620 => 419,
656
                621 => 54,
657
                622 => 418,
658
                623 => 53,
659
                624 => 417,
660
                625 => 52,
661
                626 => 416,
662
                627 => 51,
663
                628 => 415,
664
                629 => 50,
665
                630 => 414,
666
                631 => 49,
667
                632 => 413,
668
                633 => 48,
669
                634 => 412,
670
                635 => 47,
671
                636 => 411,
672
                637 => 46,
673
                638 => 410,
674
                639 => 45,
675
                640 => 409,
676
                641 => 44,
677
                642 => 408,
678
                643 => 43,
679
                644 => 407,
680
                645 => 42,
681
                646 => 406,
682
                647 => 41,
683
                648 => 405,
684
                649 => 40,
685
                650 => 404,
686
                651 => 39,
687
                652 => 403,
688
                653 => 38,
689
                654 => 402,
690
                655 => 37,
691
                656 => 401,
692
                657 => 36,
693
                658 => 400,
694
                659 => 35,
695
                660 => 399,
696
                661 => 34,
697
                662 => 398,
698
                663 => 33,
699
                664 => 397,
700
                665 => 32,
701
                666 => 396,
702
                667 => 31,
703
                668 => 395,
704
                669 => 30,
705
                670 => 394,
706
                671 => 29,
707
                672 => 393,
708
                673 => 28,
709
                674 => 392,
710
                675 => 27,
711
                676 => 391,
712
                677 => 26,
713
                678 => 390,
714
                679 => 25,
715
                680 => 389,
716
                681 => 24,
717
                682 => 388,
718
                683 => 23,
719
                684 => 387,
720
                685 => 22,
721
                686 => 386,
722
                687 => 21,
723
                688 => 385,
724
                689 => 20,
725
                690 => 384,
726
                691 => 19,
727
                692 => 383,
728
                693 => 18,
729
                694 => 382,
730
                695 => 17,
731
                696 => 381,
732
                697 => 16,
733
                698 => 380,
734
                699 => 15,
735
                700 => 379,
736
                701 => 14,
737
                702 => 378,
738
                703 => 13,
739
                704 => 377,
740
                705 => 12,
741
                706 => 376,
742
                707 => 11,
743
                708 => 375,
744
                709 => 10,
745
                710 => 374,
746
                711 => 9,
747
                712 => 373,
748
                713 => 8,
749
                714 => 372,
750
                715 => 7,
751
                716 => 371,
752
                717 => 6,
753
                718 => 370,
754
                719 => 5,
755
                720 => 369,
756
                721 => 4,
757
                722 => 368,
758
                723 => 3,
759
                724 => 367,
760
                725 => 2,
761
                726 => 366,
762
                727 => 1,
763
                728 => 365,
764
                729 => 0
765
        );
766
 
767
 
768
end index_table;
769
 
770
package body index_table is
771
   -- subprogram bodies here
772
end index_table;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.