OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_cyclone10_lp/] [index_table.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 microengin
library ieee;
2
 
3
use ieee.std_logic_1164.all;
4
use ieee.numeric_std.all;
5
 
6
package index_table is
7
 
8
type const_index_table is array ( 0 to 729) of integer range 0 to 728;
9
  constant index_table : const_index_table := (
10
 
11
                1 => 364,
12
                2 => 728,
13
                3 => 363,
14
                4 => 727,
15
                5 => 362,
16
                6 => 726,
17
                7 => 361,
18
                8 => 725,
19
                9 => 360,
20
                10 => 724,
21
                11 => 359,
22
                12 => 723,
23
                13 => 358,
24
                14 => 722,
25
                15 => 357,
26
                16 => 721,
27
                17 => 356,
28
                18 => 720,
29
                19 => 355,
30
                20 => 719,
31
                21 => 354,
32
                22 => 718,
33
                23 => 353,
34
                24 => 717,
35
                25 => 352,
36
                26 => 716,
37
                27 => 351,
38
                28 => 715,
39
                29 => 350,
40
                30 => 714,
41
                31 => 349,
42
                32 => 713,
43
                33 => 348,
44
                34 => 712,
45
                35 => 347,
46
                36 => 711,
47
                37 => 346,
48
                38 => 710,
49
                39 => 345,
50
                40 => 709,
51
                41 => 344,
52
                42 => 708,
53
                43 => 343,
54
                44 => 707,
55
                45 => 342,
56
                46 => 706,
57
                47 => 341,
58
                48 => 705,
59
                49 => 340,
60
                50 => 704,
61
                51 => 339,
62
                52 => 703,
63
                53 => 338,
64
                54 => 702,
65
                55 => 337,
66
                56 => 701,
67
                57 => 336,
68
                58 => 700,
69
                59 => 335,
70
                60 => 699,
71
                61 => 334,
72
                62 => 698,
73
                63 => 333,
74
                64 => 697,
75
                65 => 332,
76
                66 => 696,
77
                67 => 331,
78
                68 => 695,
79
                69 => 330,
80
                70 => 694,
81
                71 => 329,
82
                72 => 693,
83
                73 => 328,
84
                74 => 692,
85
                75 => 327,
86
                76 => 691,
87
                77 => 326,
88
                78 => 690,
89
                79 => 325,
90
                80 => 689,
91
                81 => 324,
92
                82 => 688,
93
                83 => 323,
94
                84 => 687,
95
                85 => 322,
96
                86 => 686,
97
                87 => 321,
98
                88 => 685,
99
                89 => 320,
100
                90 => 684,
101
                91 => 319,
102
                92 => 683,
103
                93 => 318,
104
                94 => 682,
105
                95 => 317,
106
                96 => 681,
107
                97 => 316,
108
                98 => 680,
109
                99 => 315,
110
                100 => 679,
111
                101 => 314,
112
                102 => 678,
113
                103 => 313,
114
                104 => 677,
115
                105 => 312,
116
                106 => 676,
117
                107 => 311,
118
                108 => 675,
119
                109 => 310,
120
                110 => 674,
121
                111 => 309,
122
                112 => 673,
123
                113 => 308,
124
                114 => 672,
125
                115 => 307,
126
                116 => 671,
127
                117 => 306,
128
                118 => 670,
129
                119 => 305,
130
                120 => 669,
131
                121 => 304,
132
                122 => 668,
133
                123 => 303,
134
                124 => 667,
135
                125 => 302,
136
                126 => 666,
137
                127 => 301,
138
                128 => 665,
139
                129 => 300,
140
                130 => 664,
141
                131 => 299,
142
                132 => 663,
143
                133 => 298,
144
                134 => 662,
145
                135 => 297,
146
                136 => 661,
147
                137 => 296,
148
                138 => 660,
149
                139 => 295,
150
                140 => 659,
151
                141 => 294,
152
                142 => 658,
153
                143 => 293,
154
                144 => 657,
155
                145 => 292,
156
                146 => 656,
157
                147 => 291,
158
                148 => 655,
159
                149 => 290,
160
                150 => 654,
161
                151 => 289,
162
                152 => 653,
163
                153 => 288,
164
                154 => 652,
165
                155 => 287,
166
                156 => 651,
167
                157 => 286,
168
                158 => 650,
169
                159 => 285,
170
                160 => 649,
171
                161 => 284,
172
                162 => 648,
173
                163 => 283,
174
                164 => 647,
175
                165 => 282,
176
                166 => 646,
177
                167 => 281,
178
                168 => 645,
179
                169 => 280,
180
                170 => 644,
181
                171 => 279,
182
                172 => 643,
183
                173 => 278,
184
                174 => 642,
185
                175 => 277,
186
                176 => 641,
187
                177 => 276,
188
                178 => 640,
189
                179 => 275,
190
                180 => 639,
191
                181 => 274,
192
                182 => 638,
193
                183 => 273,
194
                184 => 637,
195
                185 => 272,
196
                186 => 636,
197
                187 => 271,
198
                188 => 635,
199
                189 => 270,
200
                190 => 634,
201
                191 => 269,
202
                192 => 633,
203
                193 => 268,
204
                194 => 632,
205
                195 => 267,
206
                196 => 631,
207
                197 => 266,
208
                198 => 630,
209
                199 => 265,
210
                200 => 629,
211
                201 => 264,
212
                202 => 628,
213
                203 => 263,
214
                204 => 627,
215
                205 => 262,
216
                206 => 626,
217
                207 => 261,
218
                208 => 625,
219
                209 => 260,
220
                210 => 624,
221
                211 => 259,
222
                212 => 623,
223
                213 => 258,
224
                214 => 622,
225
                215 => 257,
226
                216 => 621,
227
                217 => 256,
228
                218 => 620,
229
                219 => 255,
230
                220 => 619,
231
                221 => 254,
232
                222 => 618,
233
                223 => 253,
234
                224 => 617,
235
                225 => 252,
236
                226 => 616,
237
                227 => 251,
238
                228 => 615,
239
                229 => 250,
240
                230 => 614,
241
                231 => 249,
242
                232 => 613,
243
                233 => 248,
244
                234 => 612,
245
                235 => 247,
246
                236 => 611,
247
                237 => 246,
248
                238 => 610,
249
                239 => 245,
250
                240 => 609,
251
                241 => 244,
252
                242 => 608,
253
                243 => 243,
254
                244 => 607,
255
                245 => 242,
256
                246 => 606,
257
                247 => 241,
258
                248 => 605,
259
                249 => 240,
260
                250 => 604,
261
                251 => 239,
262
                252 => 603,
263
                253 => 238,
264
                254 => 602,
265
                255 => 237,
266
                256 => 601,
267
                257 => 236,
268
                258 => 600,
269
                259 => 235,
270
                260 => 599,
271
                261 => 234,
272
                262 => 598,
273
                263 => 233,
274
                264 => 597,
275
                265 => 232,
276
                266 => 596,
277
                267 => 231,
278
                268 => 595,
279
                269 => 230,
280
                270 => 594,
281
                271 => 229,
282
                272 => 593,
283
                273 => 228,
284
                274 => 592,
285
                275 => 227,
286
                276 => 591,
287
                277 => 226,
288
                278 => 590,
289
                279 => 225,
290
                280 => 589,
291
                281 => 224,
292
                282 => 588,
293
                283 => 223,
294
                284 => 587,
295
                285 => 222,
296
                286 => 586,
297
                287 => 221,
298
                288 => 585,
299
                289 => 220,
300
                290 => 584,
301
                291 => 219,
302
                292 => 583,
303
                293 => 218,
304
                294 => 582,
305
                295 => 217,
306
                296 => 581,
307
                297 => 216,
308
                298 => 580,
309
                299 => 215,
310
                300 => 579,
311
                301 => 214,
312
                302 => 578,
313
                303 => 213,
314
                304 => 577,
315
                305 => 212,
316
                306 => 576,
317
                307 => 211,
318
                308 => 575,
319
                309 => 210,
320
                310 => 574,
321
                311 => 209,
322
                312 => 573,
323
                313 => 208,
324
                314 => 572,
325
                315 => 207,
326
                316 => 571,
327
                317 => 206,
328
                318 => 570,
329
                319 => 205,
330
                320 => 569,
331
                321 => 204,
332
                322 => 568,
333
                323 => 203,
334
                324 => 567,
335
                325 => 202,
336
                326 => 566,
337
                327 => 201,
338
                328 => 565,
339
                329 => 200,
340
                330 => 564,
341
                331 => 199,
342
                332 => 563,
343
                333 => 198,
344
                334 => 562,
345
                335 => 197,
346
                336 => 561,
347
                337 => 196,
348
                338 => 560,
349
                339 => 195,
350
                340 => 559,
351
                341 => 194,
352
                342 => 558,
353
                343 => 193,
354
                344 => 557,
355
                345 => 192,
356
                346 => 556,
357
                347 => 191,
358
                348 => 555,
359
                349 => 190,
360
                350 => 554,
361
                351 => 189,
362
                352 => 553,
363
                353 => 188,
364
                354 => 552,
365
                355 => 187,
366
                356 => 551,
367
                357 => 186,
368
                358 => 550,
369
                359 => 185,
370
                360 => 549,
371
                361 => 184,
372
                362 => 548,
373
                363 => 183,
374
                364 => 547,
375
                365 => 182,
376
                366 => 546,
377
                367 => 181,
378
                368 => 545,
379
                369 => 180,
380
                370 => 544,
381
                371 => 179,
382
                372 => 543,
383
                373 => 178,
384
                374 => 542,
385
                375 => 177,
386
                376 => 541,
387
                377 => 176,
388
                378 => 540,
389
                379 => 175,
390
                380 => 539,
391
                381 => 174,
392
                382 => 538,
393
                383 => 173,
394
                384 => 537,
395
                385 => 172,
396
                386 => 536,
397
                387 => 171,
398
                388 => 535,
399
                389 => 170,
400
                390 => 534,
401
                391 => 169,
402
                392 => 533,
403
                393 => 168,
404
                394 => 532,
405
                395 => 167,
406
                396 => 531,
407
                397 => 166,
408
                398 => 530,
409
                399 => 165,
410
                400 => 529,
411
                401 => 164,
412
                402 => 528,
413
                403 => 163,
414
                404 => 527,
415
                405 => 162,
416
                406 => 526,
417
                407 => 161,
418
                408 => 525,
419
                409 => 160,
420
                410 => 524,
421
                411 => 159,
422
                412 => 523,
423
                413 => 158,
424
                414 => 522,
425
                415 => 157,
426
                416 => 521,
427
                417 => 156,
428
                418 => 520,
429
                419 => 155,
430
                420 => 519,
431
                421 => 154,
432
                422 => 518,
433
                423 => 153,
434
                424 => 517,
435
                425 => 152,
436
                426 => 516,
437
                427 => 151,
438
                428 => 515,
439
                429 => 150,
440
                430 => 514,
441
                431 => 149,
442
                432 => 513,
443
                433 => 148,
444
                434 => 512,
445
                435 => 147,
446
                436 => 511,
447
                437 => 146,
448
                438 => 510,
449
                439 => 145,
450
                440 => 509,
451
                441 => 144,
452
                442 => 508,
453
                443 => 143,
454
                444 => 507,
455
                445 => 142,
456
                446 => 506,
457
                447 => 141,
458
                448 => 505,
459
                449 => 140,
460
                450 => 504,
461
                451 => 139,
462
                452 => 503,
463
                453 => 138,
464
                454 => 502,
465
                455 => 137,
466
                456 => 501,
467
                457 => 136,
468
                458 => 500,
469
                459 => 135,
470
                460 => 499,
471
                461 => 134,
472
                462 => 498,
473
                463 => 133,
474
                464 => 497,
475
                465 => 132,
476
                466 => 496,
477
                467 => 131,
478
                468 => 495,
479
                469 => 130,
480
                470 => 494,
481
                471 => 129,
482
                472 => 493,
483
                473 => 128,
484
                474 => 492,
485
                475 => 127,
486
                476 => 491,
487
                477 => 126,
488
                478 => 490,
489
                479 => 125,
490
                480 => 489,
491
                481 => 124,
492
                482 => 488,
493
                483 => 123,
494
                484 => 487,
495
                485 => 122,
496
                486 => 486,
497
                487 => 121,
498
                488 => 485,
499
                489 => 120,
500
                490 => 484,
501
                491 => 119,
502
                492 => 483,
503
                493 => 118,
504
                494 => 482,
505
                495 => 117,
506
                496 => 481,
507
                497 => 116,
508
                498 => 480,
509
                499 => 115,
510
                500 => 479,
511
                501 => 114,
512
                502 => 478,
513
                503 => 113,
514
                504 => 477,
515
                505 => 112,
516
                506 => 476,
517
                507 => 111,
518
                508 => 475,
519
                509 => 110,
520
                510 => 474,
521
                511 => 109,
522
                512 => 473,
523
                513 => 108,
524
                514 => 472,
525
                515 => 107,
526
                516 => 471,
527
                517 => 106,
528
                518 => 470,
529
                519 => 105,
530
                520 => 469,
531
                521 => 104,
532
                522 => 468,
533
                523 => 103,
534
                524 => 467,
535
                525 => 102,
536
                526 => 466,
537
                527 => 101,
538
                528 => 465,
539
                529 => 100,
540
                530 => 464,
541
                531 => 99,
542
                532 => 463,
543
                533 => 98,
544
                534 => 462,
545
                535 => 97,
546
                536 => 461,
547
                537 => 96,
548
                538 => 460,
549
                539 => 95,
550
                540 => 459,
551
                541 => 94,
552
                542 => 458,
553
                543 => 93,
554
                544 => 457,
555
                545 => 92,
556
                546 => 456,
557
                547 => 91,
558
                548 => 455,
559
                549 => 90,
560
                550 => 454,
561
                551 => 89,
562
                552 => 453,
563
                553 => 88,
564
                554 => 452,
565
                555 => 87,
566
                556 => 451,
567
                557 => 86,
568
                558 => 450,
569
                559 => 85,
570
                560 => 449,
571
                561 => 84,
572
                562 => 448,
573
                563 => 83,
574
                564 => 447,
575
                565 => 82,
576
                566 => 446,
577
                567 => 81,
578
                568 => 445,
579
                569 => 80,
580
                570 => 444,
581
                571 => 79,
582
                572 => 443,
583
                573 => 78,
584
                574 => 442,
585
                575 => 77,
586
                576 => 441,
587
                577 => 76,
588
                578 => 440,
589
                579 => 75,
590
                580 => 439,
591
                581 => 74,
592
                582 => 438,
593
                583 => 73,
594
                584 => 437,
595
                585 => 72,
596
                586 => 436,
597
                587 => 71,
598
                588 => 435,
599
                589 => 70,
600
                590 => 434,
601
                591 => 69,
602
                592 => 433,
603
                593 => 68,
604
                594 => 432,
605
                595 => 67,
606
                596 => 431,
607
                597 => 66,
608
                598 => 430,
609
                599 => 65,
610
                600 => 429,
611
                601 => 64,
612
                602 => 428,
613
                603 => 63,
614
                604 => 427,
615
                605 => 62,
616
                606 => 426,
617
                607 => 61,
618
                608 => 425,
619
                609 => 60,
620
                610 => 424,
621
                611 => 59,
622
                612 => 423,
623
                613 => 58,
624
                614 => 422,
625
                615 => 57,
626
                616 => 421,
627
                617 => 56,
628
                618 => 420,
629
                619 => 55,
630
                620 => 419,
631
                621 => 54,
632
                622 => 418,
633
                623 => 53,
634
                624 => 417,
635
                625 => 52,
636
                626 => 416,
637
                627 => 51,
638
                628 => 415,
639
                629 => 50,
640
                630 => 414,
641
                631 => 49,
642
                632 => 413,
643
                633 => 48,
644
                634 => 412,
645
                635 => 47,
646
                636 => 411,
647
                637 => 46,
648
                638 => 410,
649
                639 => 45,
650
                640 => 409,
651
                641 => 44,
652
                642 => 408,
653
                643 => 43,
654
                644 => 407,
655
                645 => 42,
656
                646 => 406,
657
                647 => 41,
658
                648 => 405,
659
                649 => 40,
660
                650 => 404,
661
                651 => 39,
662
                652 => 403,
663
                653 => 38,
664
                654 => 402,
665
                655 => 37,
666
                656 => 401,
667
                657 => 36,
668
                658 => 400,
669
                659 => 35,
670
                660 => 399,
671
                661 => 34,
672
                662 => 398,
673
                663 => 33,
674
                664 => 397,
675
                665 => 32,
676
                666 => 396,
677
                667 => 31,
678
                668 => 395,
679
                669 => 30,
680
                670 => 394,
681
                671 => 29,
682
                672 => 393,
683
                673 => 28,
684
                674 => 392,
685
                675 => 27,
686
                676 => 391,
687
                677 => 26,
688
                678 => 390,
689
                679 => 25,
690
                680 => 389,
691
                681 => 24,
692
                682 => 388,
693
                683 => 23,
694
                684 => 387,
695
                685 => 22,
696
                686 => 386,
697
                687 => 21,
698
                688 => 385,
699
                689 => 20,
700
                690 => 384,
701
                691 => 19,
702
                692 => 383,
703
                693 => 18,
704
                694 => 382,
705
                695 => 17,
706
                696 => 381,
707
                697 => 16,
708
                698 => 380,
709
                699 => 15,
710
                700 => 379,
711
                701 => 14,
712
                702 => 378,
713
                703 => 13,
714
                704 => 377,
715
                705 => 12,
716
                706 => 376,
717
                707 => 11,
718
                708 => 375,
719
                709 => 10,
720
                710 => 374,
721
                711 => 9,
722
                712 => 373,
723
                713 => 8,
724
                714 => 372,
725
                715 => 7,
726
                716 => 371,
727
                717 => 6,
728
                718 => 370,
729
                719 => 5,
730
                720 => 369,
731
                721 => 4,
732
                722 => 368,
733
                723 => 3,
734
                724 => 367,
735
                725 => 2,
736
                726 => 366,
737
                727 => 1,
738
                728 => 365,
739
                729 => 0
740
        );
741
 
742
 
743
end index_table;
744
 
745
package body index_table is
746
   -- subprogram bodies here
747
end index_table;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.