OpenCores
URL https://opencores.org/ocsvn/ipv4_packet_transmitter/ipv4_packet_transmitter/trunk

Subversion Repositories ipv4_packet_transmitter

[/] [ipv4_packet_transmitter/] [trunk/] [IPv4_PACKET_TRANSMITTER/] [dist_mem_64x8.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: dist_mem_64x8.vhd
10
-- /___/   /\     Timestamp: Tue Dec 01 15:45:04 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl C:\PHd_Projects\The_Felsenstein_CoProcessor\COREGEN_Design\tmp\_cg\dist_mem_64x8.ngc C:\PHd_Projects\The_Felsenstein_CoProcessor\COREGEN_Design\tmp\_cg\dist_mem_64x8.vhd 
15
-- Device       : 5vsx95tff1136-1
16
-- Input file   : C:/PHd_Projects/The_Felsenstein_CoProcessor/COREGEN_Design/tmp/_cg/dist_mem_64x8.ngc
17
-- Output file  : C:/PHd_Projects/The_Felsenstein_CoProcessor/COREGEN_Design/tmp/_cg/dist_mem_64x8.vhd
18
-- # of Entities        : 1
19
-- Design Name  : dist_mem_64x8
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity dist_mem_64x8 is
44
  port (
45
    clk : in STD_LOGIC := 'X';
46
    a : in STD_LOGIC_VECTOR ( 5 downto 0 );
47
    qspo : out STD_LOGIC_VECTOR ( 7 downto 0 )
48
  );
49
end dist_mem_64x8;
50
 
51
architecture STRUCTURE of dist_mem_64x8 is
52
  signal N0 : STD_LOGIC;
53
  signal N1 : STD_LOGIC;
54
  signal a_2 : STD_LOGIC_VECTOR ( 5 downto 0 );
55
  signal qspo_3 : STD_LOGIC_VECTOR ( 7 downto 0 );
56
  signal BU2_U0_gen_rom_rom_inst_spo_int : STD_LOGIC_VECTOR ( 7 downto 0 );
57
  signal BU2_qdpo : STD_LOGIC_VECTOR ( 0 downto 0 );
58
begin
59
  a_2(5) <= a(5);
60
  a_2(4) <= a(4);
61
  a_2(3) <= a(3);
62
  a_2(2) <= a(2);
63
  a_2(1) <= a(1);
64
  a_2(0) <= a(0);
65
  qspo(7) <= qspo_3(7);
66
  qspo(6) <= qspo_3(6);
67
  qspo(5) <= qspo_3(5);
68
  qspo(4) <= qspo_3(4);
69
  qspo(3) <= qspo_3(3);
70
  qspo(2) <= qspo_3(2);
71
  qspo(1) <= qspo_3(1);
72
  qspo(0) <= qspo_3(0);
73
  VCC_0 : VCC
74
    port map (
75
      P => N1
76
    );
77
  GND_1 : GND
78
    port map (
79
      G => N0
80
    );
81
  BU2_U0_gen_rom_rom_inst_Mrom_spo_int_rom0000111 : LUT6
82
    generic map(
83
      INIT => X"0000061400040604"
84
    )
85
    port map (
86
      I0 => a_2(2),
87
      I1 => a_2(3),
88
      I2 => a_2(5),
89
      I3 => a_2(1),
90
      I4 => a_2(4),
91
      I5 => a_2(0),
92
      O => BU2_U0_gen_rom_rom_inst_spo_int(1)
93
    );
94
  BU2_U0_gen_rom_rom_inst_Mrom_spo_int_rom000071 : LUT6
95
    generic map(
96
      INIT => X"2100210023022222"
97
    )
98
    port map (
99
      I0 => a_2(3),
100
      I1 => a_2(5),
101
      I2 => a_2(4),
102
      I3 => a_2(1),
103
      I4 => a_2(0),
104
      I5 => a_2(2),
105
      O => BU2_U0_gen_rom_rom_inst_spo_int(7)
106
    );
107
  BU2_U0_gen_rom_rom_inst_Mrom_spo_int_rom000041 : LUT6
108
    generic map(
109
      INIT => X"0204162600041726"
110
    )
111
    port map (
112
      I0 => a_2(2),
113
      I1 => a_2(3),
114
      I2 => a_2(5),
115
      I3 => a_2(1),
116
      I4 => a_2(4),
117
      I5 => a_2(0),
118
      O => BU2_U0_gen_rom_rom_inst_spo_int(4)
119
    );
120
  BU2_U0_gen_rom_rom_inst_Mrom_spo_int_rom000051 : LUT6
121
    generic map(
122
      INIT => X"2301030311110112"
123
    )
124
    port map (
125
      I0 => a_2(4),
126
      I1 => a_2(5),
127
      I2 => a_2(2),
128
      I3 => a_2(0),
129
      I4 => a_2(1),
130
      I5 => a_2(3),
131
      O => BU2_U0_gen_rom_rom_inst_spo_int(5)
132
    );
133
  BU2_U0_gen_rom_rom_inst_Mrom_spo_int_rom000021 : LUT6
134
    generic map(
135
      INIT => X"0100057801014578"
136
    )
137
    port map (
138
      I0 => a_2(5),
139
      I1 => a_2(1),
140
      I2 => a_2(2),
141
      I3 => a_2(3),
142
      I4 => a_2(4),
143
      I5 => a_2(0),
144
      O => BU2_U0_gen_rom_rom_inst_spo_int(2)
145
    );
146
  BU2_U0_gen_rom_rom_inst_Mrom_spo_int_rom000031 : LUT6
147
    generic map(
148
      INIT => X"090101020B0A0202"
149
    )
150
    port map (
151
      I0 => a_2(3),
152
      I1 => a_2(4),
153
      I2 => a_2(5),
154
      I3 => a_2(1),
155
      I4 => a_2(0),
156
      I5 => a_2(2),
157
      O => BU2_U0_gen_rom_rom_inst_spo_int(3)
158
    );
159
  BU2_U0_gen_rom_rom_inst_Mrom_spo_int_rom000061 : LUT6
160
    generic map(
161
      INIT => X"010701EF02460224"
162
    )
163
    port map (
164
      I0 => a_2(2),
165
      I1 => a_2(3),
166
      I2 => a_2(4),
167
      I3 => a_2(5),
168
      I4 => a_2(0),
169
      I5 => a_2(1),
170
      O => BU2_U0_gen_rom_rom_inst_spo_int(6)
171
    );
172
  BU2_U0_gen_rom_rom_inst_Mrom_spo_int_rom000011 : LUT6
173
    generic map(
174
      INIT => X"1202020210347366"
175
    )
176
    port map (
177
      I0 => a_2(3),
178
      I1 => a_2(5),
179
      I2 => a_2(1),
180
      I3 => a_2(0),
181
      I4 => a_2(2),
182
      I5 => a_2(4),
183
      O => BU2_U0_gen_rom_rom_inst_spo_int(0)
184
    );
185
  BU2_U0_gen_rom_rom_inst_qspo_int_7 : FD
186
    generic map(
187
      INIT => '0'
188
    )
189
    port map (
190
      C => clk,
191
      D => BU2_U0_gen_rom_rom_inst_spo_int(7),
192
      Q => qspo_3(7)
193
    );
194
  BU2_U0_gen_rom_rom_inst_qspo_int_6 : FD
195
    generic map(
196
      INIT => '0'
197
    )
198
    port map (
199
      C => clk,
200
      D => BU2_U0_gen_rom_rom_inst_spo_int(6),
201
      Q => qspo_3(6)
202
    );
203
  BU2_U0_gen_rom_rom_inst_qspo_int_5 : FD
204
    generic map(
205
      INIT => '0'
206
    )
207
    port map (
208
      C => clk,
209
      D => BU2_U0_gen_rom_rom_inst_spo_int(5),
210
      Q => qspo_3(5)
211
    );
212
  BU2_U0_gen_rom_rom_inst_qspo_int_4 : FD
213
    generic map(
214
      INIT => '0'
215
    )
216
    port map (
217
      C => clk,
218
      D => BU2_U0_gen_rom_rom_inst_spo_int(4),
219
      Q => qspo_3(4)
220
    );
221
  BU2_U0_gen_rom_rom_inst_qspo_int_3 : FD
222
    generic map(
223
      INIT => '0'
224
    )
225
    port map (
226
      C => clk,
227
      D => BU2_U0_gen_rom_rom_inst_spo_int(3),
228
      Q => qspo_3(3)
229
    );
230
  BU2_U0_gen_rom_rom_inst_qspo_int_2 : FD
231
    generic map(
232
      INIT => '0'
233
    )
234
    port map (
235
      C => clk,
236
      D => BU2_U0_gen_rom_rom_inst_spo_int(2),
237
      Q => qspo_3(2)
238
    );
239
  BU2_U0_gen_rom_rom_inst_qspo_int_1 : FD
240
    generic map(
241
      INIT => '0'
242
    )
243
    port map (
244
      C => clk,
245
      D => BU2_U0_gen_rom_rom_inst_spo_int(1),
246
      Q => qspo_3(1)
247
    );
248
  BU2_U0_gen_rom_rom_inst_qspo_int_0 : FD
249
    generic map(
250
      INIT => '0'
251
    )
252
    port map (
253
      C => clk,
254
      D => BU2_U0_gen_rom_rom_inst_spo_int(0),
255
      Q => qspo_3(0)
256
    );
257
  BU2_XST_GND : GND
258
    port map (
259
      G => BU2_qdpo(0)
260
    );
261
 
262
end STRUCTURE;
263
 
264
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.